当前位置:文档之家› 简单时序电路

简单时序电路

简单时序电路
简单时序电路

深圳大学实验报告

课程名称:数字电路

实验项目名称:简单时序电路

学院:光电工程学院

专业:光电信息工程

指导教师:许改霞

报告人:陈锦旺学号:2009170013班级:光信一班实验时间:

实验报告提交时间:

教务处制

一、实验目的与要求:

掌握简单时序电路的分析、设计、测试方法。

二、实验仪器:

1、双JK触发器74LS73 2片

2、双D触发器74LS74 2片

3、四2输入与非门74LS00 1片

4、示波器

四、实验内容与步骤:

(一) 实验内容

1 双D触发器74LS74构成的二进制计数器(分频器)

(1)按下图接线,CLR接逻辑开关输出,LED接逻辑状态指示。

图8.1 D触发器74LS74构成的二进制计数器

(2)使CLR=0,将Q0、Q1、Q2、Q3复位。

(3)由CLK端输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。

(4)由CLK端输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。

2、用2片74LS73构成一个二进制计数器,重做内容1的实验。

3、异步十进制计数器

(1)按图8.2构成一个十进制计数器,CLR接逻辑开关输出,LED接逻辑状态指示。(2)将Q0、Q1、Q2、Q3复位。

(3)由时钟端CLK输入单次脉冲,测试并记录Q0、Q1、Q2、Q3的状态。

(4)由时钟端CLK输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。

图8.2异步十进制计数器

4、自循环计数器

(1)用双D触发器74LS74构成一个四位自循环计数器。方法是第一级的Q端接第二级的D端,依次类推,最后第四级的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然后接单脉冲时钟。

(2)将触发器Q0置1,Q1、Q2、Q3清零。按单脉冲按钮,观察并记录Q0、Q1、Q2、Q3的值。

(二)实验接线及测试结果

1、实验1接线图及测试结果

(1)接线图

图8.3 74LS74构成二进制计数器接线图

图中,K1是逻辑开关,AK1是单次按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。

(2)置K1为低电平,四个逻辑状态指示灯为绿色,表示Q3Q2Q1Q0为0000。

(3)置K1为高电平,按单次脉冲AK1,Q3Q2Q1Q0的值变化如下

Q3 Q2 Q1 Q0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

0 0 0 0

表8.1 74LS74构成的计数器状态转移表

(4)将CLK端该接为100kHz连续脉冲信号,用示波器观察Q0、Q1、Q2、Q3波形,画出计数器在连续脉冲信号下Q0、Q1、Q2、Q3的波形图如下:

图8.4二进制计数器波形图

(5)Q——0、Q——1、Q——2、Q——3也构成一个计数器,Q——3是最高位,Q——0是最低位,这是一个递减计数器。

2、实验2接线图及测试结果

(1)实验2接线图

图8.5 74LS73构成二进制计数器接线图

图中,K1是逻辑开关输出,AK1是单次脉冲按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。

(2)置K1为低电平,四个逻辑状态指示灯为绿色,表示Q3Q2Q1Q0为0000。

(3)置K1为高电平,按单次脉冲按钮AK1,Q3Q2Q1Q0的变化如下表:

Q3 Q2 Q1 Q0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

0 0 0 0

表8.2 74LS73构成的计数器状态转移表

(4)将接CLK端的单次脉冲信号改接为100kHz连续脉冲,用示波器观察Q0、Q1、Q2、Q3波形,画出在连续脉冲下Q0、Q1、Q2、Q3的波形图如下:

图8.6 74LS73构成的计数器波形图

3、异步十进制计数器接线图及测试结果

(1)接线图

图8.7异步十进制计数器接线图

图中,K1是逻辑开关输出,AK1是单次脉冲按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。

(2)置K1为低电平,四个逻辑状态指示灯为绿色,表示Q3Q2Q1Q0为0000。

(3)置K1为高电平,按单次脉冲按钮AK1,Q3Q2Q1Q0的变化如下表:

Q3 Q2 Q1 Q0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

0 0 0 0

表8.3 异步十进制计数器状态转移表

(4)将接CLK端的单次脉冲信号改接为100kHz连续脉冲,用示波器观察Q0、Q1、Q2、Q3波形,画出在连续脉冲下Q0、Q1、Q2、Q3的波形图如下:

图8.8 异步十进制计数器波形图

4、自循环计数器接线图及测试结果

(1)接线图

图8.9 自循环计数器接线图

图中,K1、K2是逻辑开关输出,AK1是单次脉冲按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。

(2)置K1为低电平,K2为高电平,四个逻辑状态指示灯亮绿色,表示Q3Q2Q1Q0为0000。

(3)置K1为高电平,K2为低电平,LED0灯亮红色,其它亮绿色,表示Q3Q2Q1Q0为0001。

(4)置K1、K2为高电平,按单次脉冲按钮AK1,Q3Q2Q1Q0的值变化表如下:Q3 Q2 Q1 Q0

0 0 0 1

0 0 1 0

0 1 0 0

1 0 0 0

0 0 0 1

表8.4 自循环计数器状态转移表

(5)自启动判断,反复开关电源,每开一次电源,分别按下单次触发脉冲,记录状态变化情况,确认该电路能否自启动。

五、实验数据处理及总结

1、整理实验数据,分析各种计数器波形图。

2、分析实验中出现的问题的原因。

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日备注:

注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

第六章时序逻辑电路

第六章时序逻辑电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 5.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 6.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421BCD码计数器至少需要个触发器。 A.3 B.4 C.5 D.10 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少 应使用级触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 A.2 B.6 C.7 D.8 E.10 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。 A.10 B.60 C.525 D.31500

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

东南大学数字电路实验报告(五)

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路实验 第五次实验 实验名称:时序逻辑电路设计 院(系):电气工程专业:电气工程及自动化姓名:学号: 实验室: 104 实验时间:2013年12月13日评定成绩:审阅教师:

一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。 2)状态转化图如下:

第3、5章 组合电路和时序电路(总复习)

【总复习卷】 第3、5章组合逻辑电路和时序逻辑电路在数字电路系统中,按照逻辑功能和电路特点,各种数字集成电路可分为组合逻辑电路和时序逻辑电路两大类。 【知识结构图】 【本章重点】 第3章、组合逻辑电路 1.组合逻辑电路在电路结构及逻辑功能上的特点。 2.编码器和译码器的电路设计。 3.各类编码及译码器逻辑功能介绍。 4.集成编码器及译码器使用。 第5章、时序逻辑电路 1.时序逻辑电路在电路结构及编逻辑功能上的特点。 2.各类寄存器寄存数码的原理。 3.二进制和非二进制计数器工作原理及波形图。 4.简单异步二进制计数器的设计。 5.常用中大规模计数器的使用。 【本章难点】1.编码器、译码器真值表的写法。 2.同步计数器计数状态的分析。 【本章考点】1.组合逻辑电路和时序逻辑电路的各自的特点。 2.编码器和译码器电路设计及工作原理分析。 3.寄存器寄存数码的工作过程(波形)。 4.各种类型计数器的计数状态表、状态转换图、工作波形图。

综合训练(第3、5章) 一、填空题 1. 在数字电路系统中,按照逻辑功能和电路特点,各种数字集成电路可分为_________逻辑电路和_________逻辑电路两大类。 2. 把0和1按一定规律编排,使每组代码具有一个特定的含义的过程,称为_________。把代码的 特定含义翻译出来的过程称为_________。 3. ________常用于接收、暂存、传递数码等。存放n位二进制数码需要______个触发器。 4. 能实现_________操作的电路称为计数器;按计数时各触发器状态转换与计数脉冲是否同步。可 分为_________计数器和_________计数器。_________进制计数器是各种计数器基础。 5. 一个四位二进制减法计数器状态为_________时,再输入一个计数脉冲,计数状态为1111,并向高 位发出__________信号。 6. 要把y0、y1.......y11、y12十三个信号编成二进制代码.至少需要_________位二进制数码。7. 构成计数器的基本电路是__________,如果把n个这类基本电路串联起来,就可以表示 __________位二进制数。 二、判断题(对的打“√”,错的打“×”) 1.组合逻辑电路具有记忆功能。( ) 2.编码是译码的逆过程。( ) 3.移位寄存器每输入一个脉动时,不一定只有一个触发器翻转。( ) 4.译码时每次只有一个输出端输出有效,即该输出端为1,其余为0。( ) 5.移位寄存器即可并行输出也可以串行输出。() 6.数码寄存器存放的数码可以并行输入也可以串行输入。() 7.数码寄存器最简单的寄存器,这种寄存器称为并行输入,并行输出数码寄存器。() 8.右移位寄存器存放的数码将从低位到高位,依次串行输入。() 9.时序逻辑电路结构上的特点是:由门电路和触发器组成。() 10.具有8个触发器的二进制异步计数器能表达256种状态。() 11.表示一位十进制数至少需要二位二进制数。() 12.构成一位十进制计数器至少需要4个触发器。() 13.在异步计数器中,若按自然顺序计数,则要求最低位触发器每输入一个计数脉冲其状态就翻转一次。() 14.显示器属于时序逻辑电路类型。() 15.触发器属于最简单的时序逻辑电路。() 16.八位二进制数能表十进制数的最大值是256。() 17.按8421BCD码进行计数的十进制计数器1010-1111这六种状态不允许出现。( ) 18.构成计数器电路的器件必须有具有记忆能力的。()

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

时序电路测试及研究

时序电路测试及研究 一,实验目的 1.掌握常用时序电路分析,设计及测试方法。 2.训练独立进行实验的技能。 二.实验仪器及材料 1.双踪示波器 2.器材: 74LS00 二输入端四“与非”门1片 74LS10 三输入端三“与非”门1片 74LS74 双D触发器2片 74LS112 双JK触发器2片 三.实验容 1,异步二进制计数器 (1)用JK触发器,按图5.1所示的原理接线。Q3.Q2.Q1.Q0四个输入端接电平显示发光二极管。 (2)由CP端输入单脉冲,测试并记录Q3~Q0端状态及波形(3)试讲异步二进制加法计数器改为减法计数器。参考加法计数器要求进行实验并记录。

加法器实验图: 实验结果: 波形图: 减法器实验图;

实验结果: 2.异步二—十进制加法计数器 (1)用JK触发器,按图5.2所示的原理接线,Qd,Qc, Qb,Qa,四个输入端接电平显示发光二极管,CP端接连续脉冲或单脉

冲。 (2)在CP端输入脉冲,观察CP,Qd,,Qc, ,Qb 及Qa的状态变化 (3)画出CP,,Qd,,Qc, ,Qb 及Qa的波形。 实验图: 实验结果:

,3.自循环移位寄存器——环形计数器 (1),用D触发器,按图5.3所示的原理接线,Q D ,Q C,Q B,Q A四个输出端接电平显示发光二极管。将触发器A,B,C,D的状态置为“1000”,用单脉冲计数,记录个触发器的状态。 改为连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果)观察计数器能否正常工作。分析原因。 实验图:

实验结果: (2),按图5,4所示的原理接线,与非门用74LS10(三输入端三“与非”门)重复上述实验,对比实验结果,总结关于自启动的体会。

简单时序电路

深圳大学实验报告 课程名称:数字电路 实验项目名称:简单时序电路 学院:光电工程学院 专业:光电信息工程 指导教师:许改霞 报告人:陈锦旺学号:2009170013班级:光信一班实验时间: 实验报告提交时间: 教务处制

一、实验目的与要求: 掌握简单时序电路的分析、设计、测试方法。 二、实验仪器: 1、双JK触发器74LS73 2片 2、双D触发器74LS74 2片 3、四2输入与非门74LS00 1片 4、示波器 四、实验内容与步骤: (一) 实验内容 1 双D触发器74LS74构成的二进制计数器(分频器) (1)按下图接线,CLR接逻辑开关输出,LED接逻辑状态指示。 图8.1 D触发器74LS74构成的二进制计数器 (2)使CLR=0,将Q0、Q1、Q2、Q3复位。 (3)由CLK端输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。 (4)由CLK端输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。 2、用2片74LS73构成一个二进制计数器,重做内容1的实验。 3、异步十进制计数器 (1)按图8.2构成一个十进制计数器,CLR接逻辑开关输出,LED接逻辑状态指示。(2)将Q0、Q1、Q2、Q3复位。 (3)由时钟端CLK输入单次脉冲,测试并记录Q0、Q1、Q2、Q3的状态。 (4)由时钟端CLK输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。 图8.2异步十进制计数器

4、自循环计数器 (1)用双D触发器74LS74构成一个四位自循环计数器。方法是第一级的Q端接第二级的D端,依次类推,最后第四级的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然后接单脉冲时钟。 (2)将触发器Q0置1,Q1、Q2、Q3清零。按单脉冲按钮,观察并记录Q0、Q1、Q2、Q3的值。 (二)实验接线及测试结果 1、实验1接线图及测试结果 (1)接线图 图8.3 74LS74构成二进制计数器接线图 图中,K1是逻辑开关,AK1是单次按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。 (2)置K1为低电平,四个逻辑状态指示灯为绿色,表示Q3Q2Q1Q0为0000。 (3)置K1为高电平,按单次脉冲AK1,Q3Q2Q1Q0的值变化如下 Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 表8.1 74LS74构成的计数器状态转移表

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

数字逻辑与数字系统实验报告-简单时序电路

数字逻辑与数字系统实验报告书6实验名简单时序电路 一、实验目的 1、掌握常用时序电路分析,设计及测试方法。 2、掌握计数器74LS161的功能。 3、掌握计数器的级联方法。 4、熟悉任意计数器的构成方法。 二、实验所用器件和仪器 74LS112(74LS73) 双J-K触发器2片 74LS175 四D触发器1片 74LS10 三输入端与非门1片 74LS00 二输入端四与非门1片 4位计数器74LS161 2片 三、实验内容 1、异步二进制计数器 2、自循环移位寄存器-环形计数器 3、集成芯片使用 4、任意模计数器(计数器级联) 四、实验原理、接线图及实验结果 1异步二进制计数器: 波形图为:

2、自循环移位寄存器-环形计数器: 环形计数器原理 环形计数器波形图

利用四D触发器芯片74LS175搭建环形计数器(2)与非门用74LS10三输入端三与非门重述上述实验: 自启式环形计数器 自启式环形计数器波形图

3、集成芯片使用:用一片74LS161和74LS00采用复位法(置位法)构造一个模6计数器。用单脉冲做计数时钟,观测计数状态。 (1)复位法构成的模6计数器: 复位法6进制计数器接线图 (3)Q的波形图:

2、置位法模6计数器接线图及测试结果(1)置数法模6计数器接线图: (2)置数法模6计数器状态转换表: (3)Q的波形图:

5、任意模计数器(计数器级联) 用2片74LS161和1片74LS00构成一个模60H计数器: 五、实验数据分析与小结 1、异步二进制计数器:由cp端输入一个脉冲,Q1~Q4轮流波动,形成脉冲。 2、自循环移位寄存器:该计数器不能自行启动,当外界给予一个作用时,该计数器就 开始自动循环工作。 3、集成芯片:集成芯片工作时脉冲会形成毛疵,可以通过改变接线状态或者增加缓冲 来解决。 4、任意模计数器:任意模计数器可以运用复位法和置数法进行清零,当数字计数到9 时,转0重新计数,并向前进一位,达成计数。 六、实验心得体会 通过本次实验,我充分认识了常用时序电路分析,设计及测试方法,掌握了计数器74LS161的功能,计数器的级联方法,熟悉任意计数器的构成方法。,并且学会了如何使用它们搭建出自己所需要的各种电路。所以说,不能光学,也要学会使用它们,这样才能真正的掌握这些知识!

EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为V erilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

简单分频时序电路的设计(三分频)

单位:嵌入式系统实验室 姓名:汤晓东 内容:简单分频时序电路的设计(三分频) 时间:2010-7-7 3.练习三 模块源代码: //-------------------文件名div3.v---------------------------------- module div3(clk_in,clk_out,reset ); input clk_in,reset; output clk_out; wire clk_out; integer n1,n2; reg clk1,clk2; always @(posedge clk_in or negedge reset) //检测clk_in的上升沿 begin if (!reset) begin n1=0; clk1<=1'b0; //clk1是对clk_in的三分频 end // 但是占空比为1/3 else if (n1==2) begin n1=0; clk1<=1'b1; end else begin n1=n1+1; clk1<=1'b0; end end always @(negedge clk_in or negedge reset) //检测clk_in的下降沿 begin if (!reset) begin n2=0; clk2<=1'b0; //clk2也是对clk_in的三分频 end else if (n2==2) //占空比为1/3,但是与clk1相差begin //半个时钟周期 n2=0; clk2<=1'b1; end else begin n2=n2+1; clk2<=1'b0; end

时序逻辑电路实验报告

数字电子技术实验报告 实验名称:时序逻辑电路 班级姓名学号 实验日期年月日交报告日期年月日 时序逻辑电路 一、设计要求 1.设计一个秒脉冲发生器,用LED指示秒脉冲的发送。 2.设计一个带有异步清零和同步置数信号的4位寄存器,并在开发板上验证。 二、实验内容 任务1:秒脉冲发生器 (1)源文件——ge.v module ge( input clr, input clk, output LED ); parameter T1s = 26'b10_1111_1010_1111_0000_1000_0000; reg [26:0] Count1; always @ (posedge clk or posedge clr) begin if(clr==1) Count1<=0; else if(Count1==T1s) Count1<=0; else Count1<=Count1 + 1; end reg rled; always @ (posedge clk or posedge clr) begin if(clr==1) rled<=0; else if(Count1==T1s) rled<=~rled; end assign LED=rled; endmodule (2)约束文件——ge.ucf NET"clk"LOC="B8"; //时钟 NET"clr"LOC="N3"; //SW7

NET"LED"LOC="G1"; //LED7 (3)开发板验证 当将开关SW7拨到1,LED7每隔1s闪烁一次。 任务2:四位寄存器(异步清零,同步置数) (1)源文件——ge1.v module ge1(clr,clk,d,load,q); input clr,clk,load; input[3:0] d; output[3:0] q; reg[3:0] q; always@(posedge clk or posedge clr) if(clr) q<=0; //异步清零 else if(!clr && load) //同步置数 begin q[0]<=1;q[1]<=1;q[2]<=1;q[3]<=1; end else q<=d; endmodule (2)约束文件——ge1.ucf NET"clk"LOC="B8"; //MCLK NET"clr"LOC="P11"; //SW0 NET"load"LOC="L3"; //SW1 NET"d[3]"LOC="G3"; //SW4 NET"d[2]"LOC="F3"; //SW5 NET"d[1]"LOC="E2"; //SW6 NET"d[0]"LOC="N3"; //SW7 NET"q[3]"LOC="N5"; //LD4 NET"q[2]"LOC="N4"; //LD5 NET"q[1]"LOC="P4"; //LD6 NET"q[0]"LOC="G1"; //LD7 (3)仿真文件——test_ge1.v #100; clr=1; #200; clr=0;clk=1;d=0100; #200; clk=0;d=1100; #200; clk=1;d=1001; #200; clk=0;d=0011;

时序逻辑电路实验报告

时序逻辑实验报告(时序逻辑实验报告1)。实验目的1。掌握同步计数器的设计方法和测试方法。2掌握常用积分计数器的逻辑功能和使用方法。第二,lshd数字信号盒。该计数器不仅可用于计数,还可用于分频、定时和数字运算。在实际工程应用中,很少使用小型触发器构成计数器,而直接使用中型集成计数器。2(1)四位二进制计数器74ls161?74lsl61是具有同步设置和异步清除功能的4位二进制加法计数器。其功能表如下表所示。74ls163是一个4位二进制加法计数器,具有同步设置和同步清除功能。其他函数与74lsl61相同,区别在于删除是同步的。此图显示两个管脚的外部示意图。表74lsl61功能表3。应用集成计数器实现了正常情况下的任意一种计数器。任何玛丽计数器的结构都可以分为三种类型。第一种类型是由触发器组成的简单计数器。第二种类型由一个集成的二进制计数器组成。第三种类型是移位寄存器,它由移位寄存器组成。在第一类中,您可以使用顺序逻辑电路进行设计。在第二类中,当计数器的模数m较小时,可以通过积分计数器来实现。当m较大时,可以通过级联多个计数器来实现。实现方法有两种:反馈设置法和反馈清除法。第三种类型是移位寄存器计数器,它由移位寄存器

组成。4实验电路:十进制计数器同步清除法、同步设定法、六边形回路输出、六边形分频电路图74ls161外部引脚图4。实验内容及步骤?1。综合计数器实验?根据电路图,使用介质集成计数器74ls163和“与非门74ls00”连接十进制计数器的同步设置或同步清零,输出连接到数码管或LED。然后以单个脉冲作为触发输入,观察数码管或发光二极管的变化,记录电路的计数过程和状态转换规律。根据电路图,用D触发器74ls7474构成一个六边形扭环计数器,输出端还连接到数码管或发光二极管上。然后用单个脉冲作为触发输入,观察数码管或LED的变化,记录电路计数过程和状态转换规律。注意观察电路是否能自动启动,否则不能将电路设置为有效状态。接下来,使用D触发器74ls7474形成自启动六边形扭环计数器,并重复上述操作。2分频实验根据实验原理图,由74ls163和74ls00组成方波输出六分频电路。选择合适的时钟输入方式和频率,用双轨示波器观察并记录时钟和分频输出信号的时序波形。5实验结果及数据分析1。同步清除十进制数加一。状态转换过程如下:分频实验成功实现了六频输出,输出波形为跟随:。实验总结和改进实验比较成功。通过这次测试,掌握了同步计数器的设计方法和

总结时序电路的特点

篇一:时序电路实验总结 时序电路实验总结 1.掌握用仿真工具分析电路的方法: 在电路中增加测试点,通过波形仿真观察终结节点的输出信号,帮助分析电路特性。 2.修改电路中出现的问题: tj:tj与start反馈信号相与非后(0)直接接入clrn端,使得7474的1q端start信号马上变为0,即输出时钟脉冲t1。。。t4为0。可是start反馈信号又马上与tj相与非(1),使clrn端无效。使其结果不稳定。 3.最佳修改方案 tj(全停):tj取反直接连到clrn,使其7474的1q(start)为0。 zt(暂停):zt与h 与非接7474的clk。 4.时序电路的运用 可运用到存储器实验中,不改变原电路而实现连读的功能。通过时序电路输出的节拍脉冲去控制74161(地址计数器)、72273(地址寄存器)、lmp-ram-io中的数据分时在总线上显示。 1.仿真时控制信号qd、tj、dp、zanting应展开; 2.注意几个状态之间的转换,仿真图要看到明显的效果。例如连续运行状态应有两个以上的ti-t4出现, 3.暂停应该可以在t1、t2、t3、t4的每个节拍上实现。 4. 篇二:数字电路特点归纳 数字电路又可称为逻辑电路,通过与(&),或(>=1),非(o),异或(=1),同或(=)等门电路来实现逻辑。 ttl和cmos电路:ttl是晶体管输入晶体管输出逻辑的缩写,它用的电源为5v。cmos电路是由pmos管和nmos管(源极一般接地)组合而成,电源电压范围较广,从1.2v-18v都可以。 cmos的推挽输出:输出高电平时n管截止,p管导通;输出低电平时n管导通,p管截止。输出电阻小,因此驱动能力强。 cmos门的漏极开路式:去掉p管,输出端可以直接接在一起实现线与功能。如果用cmos管直接接在一起,那么当一个输出高电平,一个输出低电平时,p管和n管同时导通,电流很大,可能烧毁管子。单一的管子导通,只是沟道的导通,电流小,如果两个管子都导通,则

数字电路 时序逻辑电路——计数器实验实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班姓名 王园园 学号 2 实验日期2014年5 月26 日 实验合作者:李俊杰 老师评定 实验题目:时序逻辑电路——计数器实验 一、实验目的 (一)掌握由集成触发器构成计数器的方法。 (二)熟悉中规模集成计数器74LS161计数器的逻辑功能及使用方法。 (三)学习中规模集成计数器74LS192计数器的逻辑功能及使用方法。 (四)学习计数器清零端与置数端的功能、同步与异步的概念。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 芯片74LS00 74LS161 74LS192 三、实验内容 图5-1 74LS161构成N 进制计数器目标电路图 图5-2 74LS161引脚排列图 输入 输出 CR CP LD CT P CT T D 3D 2D 1D 0 n n n n Q Q Q Q 0123 C0 0 x x x x x 0 0 0 0 1 0 x x d 3d 2d 1d 0 d 3d 2d 1d 0 CO= CT T Q Q Q Q n n n 123 1 1 1 1 x 计数 CO=n n n n Q Q Q Q 0123 1 x 1 0 x x 保持 CO= CT T Q Q Q Q n n n 123 1 x 1 x x 保持 用十六进制同步加法计数器74LS161构成N 进制计数器的设计(异步清零,同步置数)

1.按图5-1接好。从CP端输入时钟脉冲。 2.将M端接高电平,并把计数结果记录下来。如下表5-2 3.将M端接低电平,并把计数结果记录下来。 4.如果将清零端与置数端接线交换,重复2、3步骤,计数器的N分别等于多少? 答:2,3步骤N都为16 接线交换后,LD=1输入无效。加法计数器计数溢出后CO=1 => CR=0触发异步清零,然后CO=0 => CR=1,计数器重新从零开始加法计数,所以N=15

相关主题
文本预览
相关文档 最新文档