EDA电子仿真试验4
- 格式:ppt
- 大小:241.00 KB
- 文档页数:15
EDA 仿真作业仿真作业题及要求题及要求题及要求一、 仿真作业仿真作业要求要求要求EDA 仿真作业共3次,由课代表按时收齐交给助教由课代表按时收齐交给助教((分别于第5、9、13周周周周二二交,打印打印结果并书写报告结果并书写报告结果并书写报告交给助教交给助教交给助教))。
请用Multisim 软件仿真软件仿真。
仿真实验报告应包括题目仿真实验报告应包括题目、、分析分析计算计算计算结果结果结果、、仿真结果及仿真结果及分析分析分析、、仿真中遇到的问题仿真中遇到的问题、、收获和体会收获和体会。
二、 第一次仿真作业第一次仿真作业题题:共3题,请于第5周周周周二二与习题作业一起交与习题作业一起交实验实验目的目的目的::掌握基本元器件电路的分析方法,熟悉仿真软件环境,掌握仿真软件的基本测量手段(用万用表的交流和直流档测量电压电流量、用示波器测量和观察信号、用IV 分析仪测半导体器件的特性曲线),熟悉仿真软件的基本分析方法(直流扫描分析方法)。
1、仿真题1-1(3分):用IV 分析仪(IV Analyzer)测量二极管的伏安特性和晶体管的输出特性。
2、仿真题1-2(3分):教材习题1.17。
3、仿真题1-3(4分):教材习题1.18。
三、 第二次仿真作业题第二次仿真作业题::共3题,请于第9周周周周二二与习题作业一起交与习题作业一起交。
实验目的实验目的::熟悉晶体管和场效应管基本放大电路性能参数的测试、调试、设计方法,理解放大电路静态工作点对动态参数的影响;培养提出问题、分析问题、解决问题的能力;熟悉仿真软件的基本分析和测量方法。
1、仿真题2-1(3分):电路如图2-1所示,已知晶体管型号为2N2222A (模型参数中的BF 即β=220,RB 即r bb’=0.13Ω),电源电压为V CC =12V ,R s =1k Ω,负载R L =5k Ω,电容C 1=C 2=10µF ,输入电压u s 为峰值为2V 、频率为2kHz 的正弦波。
目录目录.............................................................................. 第一部分 EDA(CPLD/FPGA)技术概述.. 0第三部分 QUARTUSII 开发工具的基本操作 (14)第四部分:基础实验 (30)【实验01】组合电路 (30)【实验02】扫描显示电路实验 (35)【实验03】七人表决器 (38)【实验04】格雷码变换 (39)【实验05】四位全加器 (41)【实验06】多路选择器 (43)【实验07】四位并行乘法器 (44)【实验08】设计基本触发器 (45)【实验09】触发器功能模拟 (47)【实验10】设计74LS169计数器功能模块 (50)【实验11】步长可变的加减计数器 (52)【实验12】计数器及时序电路 (53)【实验13】数控分频器 (58)【实验14】可控脉冲发生器 (62)【实验15】正负脉宽数控调制信号发生器 (64)【实验16】四位并行流水乘法器 (65)第五部分:综合实验 (67)【实验01】矩阵键盘控制接口设计实验 (67)【实验02】电子色子游戏机设计实验 (70)【实验03】数字时钟设计实验 (72)【实验04】秒表设计实验 (75)【实验05】VGA显示接口设计实验(VGA彩条信号发生器) (78)【实验06】PS/2键盘接口设计实验 (81)【实验07】16×16点阵汉字显示设计实验 (84)【实验08】液晶显示与应用设计实验 (87)【实验09】串行AD数据采集与显示设计实验 (89)【实验10】数字电压表设计实验(并行AD数据采集与显示) (91)【实验11】简易函数信号发生器设计实验(并行DA转换) (94)【实验12】波形发生与扫频信号发生器设计实验(串行DA) (96)【实验13】硬件电子琴电路设计实验 (99)【实验14】乐曲自动演奏与硬件电子琴设计实验 (102)附录 I——核心板硬件资源连接 (104)第一部分 EDA(CPLD/FPGA)技术概述电子设计自动化(EDA)技术是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构、计算数学等多种计算机应用学科的最新技术成果,在先进的计算机工作平台上开发出来的一整套电子系统设计的软件工具。
eda技术实验报告EDA技术实验报告引言EDA(Electronic Design Automation)技术是电子设计自动化的缩写,是现代电子设计中不可或缺的一环。
它通过计算机辅助设计,提高了电路设计的效率和质量。
本文将介绍EDA技术的背景、应用和实验结果。
背景随着电子产品的不断发展,电路设计变得越来越复杂,传统的手工设计已经无法满足需求。
EDA技术的出现填补了这一空白。
它利用计算机的强大计算能力和算法,帮助设计师完成电路设计、仿真、布局和验证等工作。
应用1. 电路设计EDA技术的核心应用是电路设计。
通过EDA工具,设计师可以绘制电路图、选择器件、进行参数设置等。
EDA工具还可以自动进行电路优化,提高电路性能。
2. 仿真验证在电路设计完成后,需要对电路进行仿真验证。
EDA技术可以提供准确的仿真结果,帮助设计师分析电路的性能和稳定性。
仿真验证可以帮助设计师发现潜在的问题,提前解决。
3. 物理布局物理布局是将电路逻辑转化为实际的物理结构。
EDA技术可以自动进行物理布局,优化电路的面积和功耗。
物理布局的好坏直接影响到电路的性能和可靠性。
4. 电路验证在电路设计完成后,需要进行电路验证,确保电路的正确性和可靠性。
EDA技术可以自动进行电路验证,提供准确的验证结果。
电路验证可以帮助设计师发现设计缺陷,提高电路的可靠性。
实验设计在本次实验中,我们选择了一款EDA工具进行实验。
首先,我们设计了一个简单的数字电路,包括与门和或门。
然后,利用EDA工具进行电路仿真和优化。
最后,对电路进行物理布局和验证。
实验结果通过实验,我们得到了以下结果:1. 仿真结果显示,设计的数字电路在不同输入条件下均能正确输出结果,验证了电路的正确性。
2. 通过优化算法,我们成功提高了电路的性能,减少了功耗和面积。
3. 物理布局结果显示,电路的布局紧凑,满足了设计要求。
4. 电路验证结果显示,电路的功能和性能均符合设计要求,验证了电路的可靠性。
石河子大学本科毕业设计实验指导书基于EDA平台的电子实训实验设计与开发学生姓名贺权指导教师任玲所在学院机械电气工程学院专业电气工程及其自动化年级11级(1)班中国·新疆·石河子2015年6月目录一、软件工具的安装 (2)二、实验部分 (3)实验一组合逻辑3-8译码器 (3)实验二汽车尾灯控制电路 (11)实验三、基于VHDL语言的数字秒表电路 (15)一、软件工具的安装本实验使用的是Quartus II 9.0,该软件可运行在winxp/win7/win8(包括兼容模式)等系统下,下载安装破解方法如下:1.在Altera公司官网上下载Quartus II 9.0的安装文件。
其中包括Quartus II 9.0的安装文件和ip库。
2.开始安装,win8以下的可以通过解压后得到安装文件,win8以上的直接双击打开就行,先安装90_quartus_windows,然后是90_ip_windows。
默认装在C盘,确保空间足够。
3.软件可免费试用30天。
鼓励购买正版,破解方法可百度。
4.用Quartus_II_9.0_b151破解器.exe破解C:\altera\90\quartus\bin下的sys_cpt.dll文件(运行Quartus_II_90_b151破解器.exe后,首先要点击“浏览”选中sys_cpt.dll,安装默认的sys_cpt.dll路径是在C:\altera\90\quartus\bin下,选中sys_cpt.dll后再点击“应用”。
很多用户上来就点击“应用”,实际上并没有破解这个软件)。
5.把license.dat里的XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II7.2的Tools菜单下选择License Setup,下面就有NIC ID)。
6.在Quartus II 9.0的Tools菜单下选择License Setup,然后选择Licensefile,最后点击OK。
___计算机__学院计科专业2班________组、学号3115004949姓名朱远鹏协作者______________ 教师评定_________________实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。
2、仿真组合逻辑电路。
3、仿真时序逻辑电路。
4、基本门电路、组合电路和时序电路的程序烧录及验证。
5、数字逻辑综合设计仿真及验证。
实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。
2、熟悉利用EDA工具进行设计及仿真的流程。
3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。
二、实验环境Libero仿真软件。
三、实验内容1、掌握Libero软件的使用方法。
2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。
3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。
4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个....)的综合结果,以及相应的仿真结果。
四、实验结果和数据处理1、所有..模块及测试平台代码清单//74HC00代码-与非//74HC00.vmodule HC00(A,B,Y);input [4:1] A,B;output [4:1] Y;assign Y = ~(A&B);endmodule//74HC00测试平台代码//test_00.v`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 ul(a,b,y);initialbegina=4'b0000; b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111; b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC02代码-或非//74HC02.vmodule HC02(A,B,Y);input [3:0] A,B;output [3:0] Y;assign Y = ~(A|B);endmodule//74HC02测试平台代码// test_02.v`timescale 1ns/1nsmodule test_02;reg [3:0] a,b,c;wire [3:0] y;HC02 u(a, b, y);initialbegina = 4'b1111;c = 4'b0001;b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;a = 4'b0000;c = 4'b0001;b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;endendmodule//74HC04代码-非// 74HC04.vmodule HC04(A, Y);input [3:0] A;output [3:0]Y;assign Y = ~A; endmodule//74HC04测试平台代码// test_04.v`timescale 1ns/1nsmodule test_04;reg [3:0] a;wire [3:0] y;HC04 u (a, y);initialbegina = 4'b0001;#10 a = a<<1;#10 a = a<<1;#10 a = a<<1;endendmodule//74HC08代码-与// 74HC08.vmodule HC08(A, B, Y);input [3:0] A, B;output [3:0]Y;assign Y = A&B; endmodule//74HC08测试平台代码// test_08.v`timescale 1ns/1ns module test_08; reg [3:0] a,b;wire [3:0] y;HC00 u(a, b, y);initialbegina = 4'b0000;b = 4'b0001;#10 b = b<<1;#10 b = b<<1;#10 b = b<<1;a = 4'b1111;b = 4'b0001;#10 b = b<<1;#10 b = b<<1;#10 b = b<<1;endendmodule//74HC32代码-或//74HC32.vmodule HC32(A, B, Y);input [3:0] A, B;output [3:0]Y;assign Y = A|B; endmodule//74HC32测试平台代码// test_32.v`timescale 1ns/1nsmodule test_32;reg [3:0] a,b,c;wire [3:0] y;HC02 u(a, b, y);initialbegina = 4'b1111;c = 4'b0001;b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;a = 4'b0000;c = 4'b0001;b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;c = c<<1;#10 b = ~c;endendmodule//74HC86代码-异或//74HC86.vmodule HC86(A, B, Y);input [3:0] A, B;output [3:0]Y;assign Y = A^B;endmodule//74HC86测试平台代码// test_86.v`timescale 1ns/1nsmodule test_86;reg [3:0] a, b;wire [3:0] y;HC86 u (a, b, y);initialbegina = 4'b0000;b = 4'b0001;#10 b = b<<1;#10 b = b<<1;#10 b = b<<1;#10 a = 4'b1111;b = 4'b0001;#10 b = b<<1;#10 b = b<<1;#10 b = b<<1;endendmodule实验数据:74HC002、第一次仿真结果3、综合结果4、第二次仿真结果(综合后)。
福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:100201079指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级: 2010级姓名:邱彬彬学号: 100201079 实验课程: EDA技术实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:实验一Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。
2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。
Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。
3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。
利用Quartus II 9.0软件实现引脚锁定和编译文件下载。
利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。
5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。
eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。
在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。
本篇报告将介绍我在EDA仿真实验中的经验和收获。
二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。
通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。
三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。
在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。
2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。
在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。
3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。
这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。
4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。
通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。
5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。
通过对比仿真输出和预期结果,可以判断电路设计的正确性。
如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。
四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。
通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。
无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。
在实验过程中,我还发现了一些有趣的现象。
例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。
EDA实验报告单极放大电路的设计和仿真一、实验目的本实验旨在通过设计和仿真单极放大电路,掌握电路设计及仿真的方法和技巧,了解单极放大电路的工作原理以及参数的计算方法。
二、实验设备和材料1.EDA仿真软件2.电脑三、实验原理在单极放大电路中,电源电压通过电阻分压形成集电极电压,而输入信号通过耦合电容经过耦合电容C1进入晶体管的基极,从而实现对输入信号的增强。
四、实验步骤及数据记录1.确定电源电压:根据实验要求,选择适当的电源电压。
2.选择晶体三极管型号:根据实验要求和设计要求,选择适合的晶体三极管型号。
3.计算电阻值:根据单极放大电路的工作原理,计算电阻的取值范围,并选择合适的电阻值。
4.设计电路连接方式:将电源、电阻、晶体三极管按照电路原理进行连接并设计电路图。
5.仿真电路:使用EDA仿真软件,将设计好的电路连接到仿真软件中。
6.设置仿真参数:设置仿真参数,包括电源电压、工作频率等。
7.运行仿真:运行仿真程序,获取仿真结果。
8.分析结果:根据仿真结果,分析电路的工作情况,包括输出电压增益、输入输出阻抗等。
9.修改参数:根据分析结果,对电路参数进行调整,重新进行仿真。
10.重复步骤6-9,直到仿真结果满足设计要求。
五、实验结果分析通过仿真,得到了单极放大电路的工作情况如下:1.输出电压增益:根据仿真结果,计算得到了单极放大电路的输出电压增益为X。
2.输入输出阻抗:根据仿真结果,计算得到了单极放大电路的输入阻抗为Y,输出阻抗为Z。
3.波形分析:通过仿真软件,获取到了输入信号和输出信号的波形,并进行比较分析。
六、实验结论通过设计和仿真单极放大电路,了解了电路设计及仿真的方法和技巧。
掌握了单极放大电路的工作原理以及参数的计算方法,并通过仿真分析得到了相关结果。
实验课程名称:EDA技术与应用实验项目名称4位全加器实验实验成绩实验者专业班级组别同组者实验日期一、实验目的1.进一步加深理解全加器的工作原理及电路组成,加深对EDA技术的掌握。
2.熟悉利用Quartus Ⅱ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个四位全加器的设计把握原理图输入方式设计的详细流程。
二、实验内容实验内容1:按照书本4.5.1节完成半加器和1位全加器的设计,包括用原理图输入,编译,综合,适配,仿真,实验板上的硬件测试,并将此全加器电路设置成一个元件符号入库。
实验内容2:建立一个更高层次的原理图,利用以上获得的1位全加器构成4位全加器,并完成编译,综合,适配,仿真和硬件测试。
三、实验仪器1.计算器及操作系统2.Quartus II软件四、实验原理一个4位全加器可以由4个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。
1、半加器描述根据半加器真值表可以画出半加器的电路图。
a b so Co0 0 0 00 1 1 01 0 1 01 1 0 1表1半加器h_adder真值表图1 半加器h_adder电路图2、1位全加器描述一位全加器可以由两个半加器和一个或门连接而成,因而可以根据半加器的电路原理图或真值表写出1位全加器的VHDL 描述。
图2 1位全加器电路图3、4位全加器设计描述4位全加器可以看做四个1位全加器级联而成,首先采用基本逻辑门设计一位全加器,而后通过多个1位全加器级联实现4位全加器。
其中,其中cin 表示输入进位位,cout 表示输出进位位,输入A 和B 分别表示加数和被加数。
S 为输出和,其功能可用布尔代数式表示为:S=A+B+Ciii i i o ABC ABC ABC ABC C +++=首先根据一位全加器的布尔代数式应用基本逻辑门设计一位全加器,而后仿真验证一位全加器设计,准确无误后生成元件,供4位全加器设计用。
EDA第四次实验报告(移位寄存器)一实验目的(1)移位寄存器的工作原理及应用(2)定制LPM原件及应用(3)电路仿真基本方法(4)混合模块工程设计方法二实验仪器(1)PC一台(2)DDA系统数字系统实验平台(3)Quatartus2配套软件三实验原理基本概念: 移位寄存器是用来存储二进制数字信息且能进行信息移位的时序逻辑电路, 根据移位寄存器存取信息的方式不同分为串入串出, 串入并行, 并行串出, 并行并出四种形式。
(1)基本原理74194是一种典型的中规模集成移位寄存器, 由四个RS触发器和一些门电路构成的四位双向移位寄存器, 该移位寄存器具有左移, 右移。
并行输入数据, 保持及异步清零五种功能, 其中ABCD为并行输入端, QAQBQCQD为并行输出端;SRSI为右移串行输入端, SLSI为左移串行输入端;S1S0为模式控制端;CLRN 为异步清零端;CLK为时钟脉冲输入端, 33移位寄存器的应用Clk, clk1: 时钟输入信号, clk频率应较高, clk1频率应较低。
clrn:清零信号, 二进制输入, 低电平输入sl 、sr: 左移或者右移, 二进制输入。
s0、s1模式控制端, 二进制输入;abcd:abcd输入端, 输入四个二进制信号;qabcd: 输出四个二进制信号应用:可构成计数器, 顺序脉冲发生器, 串行累加器, 串并转换, 并串转换等。
四实验步骤(1)74194功能验证电路(2)74194功能仿真结果五实验心得通过本次试验, 我基本掌握了移位寄存器的的工作原理, 总结了一些设置波形的方法: 添加节点前设置好参数;添加节点或总线后信号整合与位置分配, 不同类的信号要上下放置, 时钟信号置顶, 其他信号可以按照“异步控制—》同步控制—》数据输入”顺序向下放置, 同一元件的控制信号就近放置;同一功能的控制信号就近放置。
先设置时钟等激励信号完成电路的初始状态, 将时间轴划分为连续的时间段, 一小段完成一小步实验内容, 激励输入完成后立即生成波形并判断结果。
EDA技术及应用实验报告摘要:EDA(Electronic Design Automation),即电子设计自动化,是一种用于半导体芯片设计的计算机辅助设计工具。
本实验通过对EDA技术的学习和应用,了解了EDA在电路设计中的重要性和实际应用。
1.引言电子设计自动化(EDA)是为了提高电子电路设计的效率和质量而发展的一种计算机辅助设计工具。
本实验通过学习EDA技术的相关知识和应用实例,深入了解EDA在电路设计中的应用及其优势。
2.EDA技术的基本原理EDA技术是通过计算机辅助分析、合成和验证电路的工具。
它包括电路仿真、布局布线、逻辑综合等多个方面。
其中,电路仿真是通过计算机模拟电路的工作原理和特性;布局布线是将电路逻辑设计映射为实际的物理设计;逻辑综合是将逻辑电路转换为门级或者布尔级电路。
3.EDA技术在电路设计中的应用3.1电路仿真电路仿真是一个重要的EDA技术应用,通过仿真可以验证电路的运行情况,提前发现并解决潜在的问题,从而降低设计风险和成本。
3.2布局布线布局布线是指将逻辑电路映射为物理电路的过程。
通过EDA工具的自动布局布线功能,可以将逻辑电路转换为最优的电路布线,减少电路面积和功耗,并提高电路的稳定性和性能。
3.3逻辑综合逻辑综合是将高级语言描述的逻辑电路转换为可实现的门级或布尔级电路。
通过EDA工具的逻辑综合功能,可以快速生成电路的逻辑结构,避免手工设计过程中的错误和繁琐性。
4.实验设计及结果本实验选取了一款集成电路芯片设计作为实验对象,使用EDA工具进行电路仿真、布局布线和逻辑综合三个方面的实验。
4.1电路仿真实验在电路仿真实验中,我们首先通过EDA工具搭建了待仿真的电路原理图,然后设置仿真条件和参数,运行仿真,并得到了仿真结果。
仿真结果显示,电路工作正常,符合预期。
4.2布局布线实验在布局布线实验中,我们将电路的逻辑设计转换为物理设计,通过EDA工具的自动布局布线功能进行布局布线。
电子设计(EDA)实验报告(4位二进制加法器)一、实验名称4位二进制加法器二、实验目的掌握输入编辑原理图文件的方法;掌握编译原理图文件的方法;掌握仿真原理图文件的方法;理解Quartus 2 器件编程的方法三、实验环境计算机与Quartus 2 工具软件四、实验原理图、源程序entity halfadd isport(a1,b1:in bit;s1,c1:out bit);end ;architecture a of halfadd isbeginprocess(a1,b1)begins1<=a1 xor b1 after 10ns;c1<=a1 and b1 after 10ns;end process;end a;entity orgate isport(a,b:in bit;o:out bit);end orgate;architecture a of orgate isbegino<=a or b;end a;entity fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end ;architecture a of fulladd issignal temp_s,temp_c1,temp_c2:bit; component halfaddport(a1,b1:in bit;s1,c1:out bit);end component;component orgate port(a,b:in bit;o:out bit);end component;beginu0:halfadd port map(i1,i2,temp_s,temp_c1);u1:halfadd port map(temp_s,c_in,fs,temp_c2); u2:orgate port map(temp_c1,temp_c2,c_out); end a;entity add4 isport(a,b:in bit_vector(3 downto 0);cin:in bit;fs:out bit_vector(3 downto 0);cout:out bit);end add4;architecture a of add4 issignal temp_co0,temp_co1,temp_co2:bit; component fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end component;beginu0:fulladd port map(a(0),b(0),cin,fs(0),temp_co0);u1:fulladd port map(a(1),b(1),temp_co0,fs(1),temp_co1);u2:fulladd port map(a(2),b(2),temp_co1,fs(2),temp_co2);u3:fulladd port map(a(3),b(3),temp_co2,fs(3),cout);end a;五、实验波形图及分析延迟12.08ns。
实验项目一:74LS318译码器的设计实验目的:1、通过一个简单的三-八译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3,初步了解QUARTUS II原理图输入设计的全过程。
二、实验的硬件要求:1、EDA2000实验箱(其他厂家具有同等配置试验箱均可),主要使用:输入:DIP拨码开关3位、输出:LED灯;2、主芯片:EP1K1OTC100-3(大于此规模的芯片亦可);3、计算机与QUARTUS 软件;三、实验原理三-译码器为三输入、八输出。
当输入信号按二进制方式的表示值为N时(输入端低电平有效),输出端从零到七,标号为N输出端输出低电平表示有信号产生,而其它则为高电平表示无信号产生。
因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为低电平的情况下,能表示所有的输入组合,因此不需要像编码器实验那样再用一个输出端指示输出是否有效。
但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。
本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。
表8.1三-八译码器真值表输入输出A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y00 0 0 0 0 0 0 0 0 0 10 0 1 0 0 0 0 0 0 1 00 1 0 0 0 0 0 0 1 0 00 1 1 0 0 0 0 1 0 0 01 0 0 0 0 0 1 0 0 0 01 0 1 0 0 1 0 0 0 0 01 1 0 1 0 0 0 0 0 01 1 1 1 0 0 0 0 0 0 0四、实验步骤:打开QUARTUS II,打开原理图编辑器,按图8.26进行原理图设计。
各逻辑符号放置在原理图上后,经检查保存原理图。
完成了原理图的输入后,我们就可以用前面介绍的软件的使用方法,对程序进行管脚的定义、编译、仿真、下载,完成整个实验的设计。
eda实验报告(四位全加器的实现)一、概述本实验是基于EDA软件对四位全加器电路进行实现的过程。
在EDA软件中,通过电路图的设计和仿真,可以有效地判断电路的正确性,从而进一步改进设计方案。
二、实验过程本实验的目的是实现四位全加器的电路。
在四位全加器的电路中,需要实现三个输入端口A、B和CIN,以及两个输出端口SUM和COUT。
其中,SUM输出端口表示输入端口A和B相加的结果,COUT输出端口则表示两个输入端口相加后的进位。
首先,在EDA软件中,使用电路图工具设计了四位全加器的电路。
在电路图中,输入端口和输出端口均使用标准连接器进行连接。
在电路图中,使用四个完整加法器电路连接起来,从而实现四位全加器电路的功能。
接着,对设计出的电路进行了仿真。
仿真的过程中,需要给输入端口设置相应的电信号,以模拟实际应用中的电路电信号。
通过观察仿真结果,可以判断输入端口和输出端口的功能是否正确,从而判断电路图的设计是否正确。
最后,将完成的电路图经过PCB工具进行绘制。
绘制完成后,将其导入到模拟工具中,进行实际电路的模拟测试。
在测试过程中,需要将输入端口和输出端口分别连接到接口测试架,并按照正常的电路连接方式进行测试。
通过测试结果,可以判断电路图中所设计的电路是否正确。
同时,测试结果还能验证电路的可靠性和稳定性,从而进行后续改进。
三、实验结果根据以上实验过程,在EDA软件中,成功设计了四位全加器电路,并进行了仿真和实际测试。
在测试结果中,电路功能正常,而输出端口的结果也与预期结果一致。
经过多次测试,电路的稳定性和可靠性也得到了验证。
四、结论通过以上实验,得出如下结论:1. EDA软件在电路设计和仿真方面具有很大的优势,能够极大地提高电路设计的效率和可靠性。
2. 在设计电路时,需要充分考虑各个输入端口之间的电路连接方式,以确保电路的准确性和稳定性。
3. 在完成电路设计之后,需要进行仿真和测试,以验证电路的正常功能和性能表现,从而进一步改进和优化电路设计方案。
eda实验报告计数器EDA实验报告-计数器引言:计数器是数字电路中常用的基本模块之一,它在各个领域都有着广泛的应用。
本实验旨在通过EDA(电子设计自动化)软件进行计数器的设计与仿真,探索计数器的原理和功能。
一、计数器的基本原理计数器是一种能够按照规定的顺序改变其输出状态的电子电路。
它通过内部的触发器和逻辑门实现数字信号的计数功能。
常见的计数器有二进制计数器、十进制计数器等。
二、实验设计与仿真1. 实验目标本次实验的目标是设计一个4位二进制计数器,并通过EDA软件进行仿真验证。
计数器的功能是在每个时钟脉冲到来时,输出的二进制数加1。
2. 设计思路计数器的设计需要考虑以下几个方面:- 选择适当的触发器:本实验选择了D触发器作为计数器的基本单元,因为D触发器具有简单、易于控制的特点。
- 确定计数器的位数:本实验设计了一个4位计数器,即可以表示0~15的二进制数。
- 连接逻辑门:通过逻辑门将各个触发器连接起来,实现计数器的功能。
3. 电路设计根据设计思路,我们使用EDA软件进行电路设计。
首先,将4个D触发器连接起来,形成4位计数器。
然后,根据计数器的功能要求,将时钟信号连接到每个触发器的时钟输入端。
最后,将各个触发器的输出通过逻辑门进行连接,得到计数器的输出。
4. 仿真验证完成电路设计后,我们使用EDA软件进行仿真验证。
通过输入不同的时钟信号,观察计数器的输出是否符合预期。
在仿真过程中,我们可以调整时钟信号的频率,观察计数器的计数速度。
三、实验结果与分析通过EDA软件的仿真,我们得到了计数器的输出结果。
在时钟信号的作用下,计数器按照预期进行了计数,并输出了相应的二进制数。
通过观察输出结果,我们可以得出以下几点结论:- 计数器的输出与时钟信号的频率有关,频率越高,计数速度越快。
- 计数器的输出按照二进制的顺序进行计数,当达到最大值时,会从0重新开始计数。
四、实验总结本次实验通过EDA软件进行了计数器的设计与仿真。
第4章 EWB的基本操作要创建一个仿真实验电路,必须掌握一些基本的操作方法。
为了叙述方便,对鼠标和键盘的有关操作术语规定如下:(1)单击:按鼠标左键一下,然后马上放开。
(2)双击:快速、连续按鼠标左键两下。
(3)拖曳:把鼠标指针放在某一对象上,按住鼠标左键不放,同时移动鼠标指针到一个新的位置,然后再释放鼠标左键。
(4)Ctrl+:表示按下Ctrl键的同时作某项操作。
例如Ctrl+单击表示按下Ctrl键的同时进行单击。
4.1 EWB文件的打开与建立4.1.1 打开文件要打开一个已经存在的电路文件,可以用File菜单中的Open命令把电路文件打开,或者单击工具栏中的快捷按钮来打开电路文件。
在EWB5.0中,电路文件以“EWB”为扩展名。
选择Open命令后,屏幕上会出现一个打开电路文件(Open Circuit File)对话框,通过选择驱动器或路径找到所需的电路文件,选择后单击“打开”或直接双击电路文件即可打开文件。
4.1.2 建立新文件要建立一个新的实验电路,可以用鼠标选择File菜单中的New命令,屏幕上就会立即出现一个新的工作台。
同样,直接单击工具栏中的快捷按钮会更方便。
如果当前已经打开一个电路,并且当前的电路被修改过,则在建立新文件前计算机将提示是否保存当前已经修改过的电路,此时可根据实际需要单击“是”、“否”或“取消”按钮即可。
4.2 元器件的操作4.2.1 元器件的选用选用元器件时,首先单击元器件库栏中包含该元器件的图标,打开该元器件库,然后从元器件库中将选中的元器件拖曳到电路工作区即可。
4.2.2 元器件的选中1. 单个元器件的选中单击要选中的元器件,被选中的元器件以红色显示,表示处于被选中状态。
2. 多个元器件的选中Ctrl+单击需要选中的元器件,被选中的所有元器件都以红色显示。
如果要同时选中一组相邻的元器件,可以在电路工作区的适当位置拖曳画出一个矩形区域,包围在该矩形区域内的一组元器件即被同时选中。
电工电子EDA仿真技术课程设计一、概述电子设计自动化(Electronic Design Automation,EDA)是指利用计算机技术,辅助电路设计、仿真和分析的过程。
EDA技术在电子工程领域的应用越来越广泛,成为电子设计必备的工具。
本课程设计旨在帮助学生掌握EDA仿真技术,提高电路设计能力,为后续课程学习打下坚实基础。
二、课程设置1. 基础知识讲解本课程首先会讲解电子设计中常见的符号、元件和电路拓扑结构等基础知识。
学生应掌握各种元件的特性及其使用方法,熟悉基本的电路拓扑结构。
2. 仿真工具使用本课程会介绍EDA仿真工具的分类、特点及应用范围,并重点讲解常用的仿真工具。
学生应掌握仿真软件的安装、基本操作及仿真结果的分析。
3. 仿真实验设计本课程将结合电路拓扑和仿真软件的使用,为学生设计多种电路实验方案。
学生需要独立完成实验方案的设计、仿真结果的分析和实验数据的统计分析。
三、实验内容1. 单级放大电路的设计与仿真单级放大电路是最基本的电子电路之一,也是学习仿真技术的必要环节。
本实验要求学生在EDA仿真工具中,设计单级放大电路,并通过仿真结果分析其特点和性能。
2. 三角波发生电路的设计与仿真三角波发生电路能够产生稳定的三角波信号,通常被用于模拟电路测试和音效处理等领域。
本实验要求学生在EDA仿真工具中,设计三角波发生电路,并通过仿真结果分析电路的稳定性和信号质量。
3. 电源噪声滤波器的设计与仿真电源噪声是限制电子设备性能的常见问题。
为了减少电源噪声的影响,需要设计合理的电源噪声滤波器。
本实验要求学生在EDA仿真工具中,设计电源噪声滤波器,并通过仿真结果分析滤波器的减幅和截止频率等参数。
四、实验结果分析学生需要对完成的实验方案和仿真结果进行总结和分析,针对实验结果中出现的问题提出解决方案,进一步提高电路设计和仿真技术。
五、总结通过本课程的学习,学生应该对EDA仿真技术有了更深入的认识,掌握了基本的仿真工具使用、实验方案设计和仿真结果分析方法。
EDA技术实验报告实验⼀利⽤原理图输⼊法设计4位全加器⼀、实验⽬的:掌握利⽤原理图输⼊法设计简单组合电路的⽅法,掌握MAX+plusII 的层次化设计⽅法。
通过⼀个4位全加器的设计,熟悉⽤EDA 软件进⾏电路设计的详细流程。
⼆、实验原理:⼀个4位全加器可以由4个⼀位全加器构成,全加器的进位以串⾏⽅式实现,即将低位加法器的进位输出cout 与相邻的⾼位加法器的低位进位输⼊信号cin 相接。
1位全加器f-adder 由2个半加器h-adder 和⼀个或门按照下列电路来实现。
半加器h-adder 由与门、同或门和⾮门构成。
四位加法器由4个全加器构成三、实验内容:1. 熟悉QuartusII 软件界⾯,掌握利⽤原理图进⾏电路模块设计的⽅法。
QuartusII 设计流程见教材第五章:QuartusII 应⽤向导。
2.设计1位全加器原理图(1)⽣成⼀个新的图形⽂件(file->new->graphic editor )(2)按照给定的原理图输⼊逻辑门(symbol ->enter symbol)COCO 1S 2S 3S 4(4)为管脚和节点命名:在管脚上的PIN_NAME处双击⿏标左键,然后输⼊名字;选中需命名的线,然后输⼊名字。
(5)创建缺省(Default)符号:在File菜单中选择Create Symbol Files for Current File项,即可创建⼀个设计的符号,该符号可被⾼层设计调⽤。
3.利⽤层次化原理图⽅法设计4位全加器(1)⽣成新的空⽩原理图,作为4位全加器设计输⼊(2)利⽤已经⽣成的1位全加器的缺省符号作为电路单元,设计4位全加器的原理图.4.新建波形⽂件(file->new->Other Files->Vector Waveform File),保存后进⾏仿真(Processing ->Start Simulation),对4位全加器进⾏时序仿真。