数控分频器
- 格式:doc
- 大小:1.21 MB
- 文档页数:16
EDA实验报告班级:姓名:目录实验一:七段数码显示译码器设计 (1)摘要 (1)实验原理 (1)实验方案及仿真 (1)引脚下载 (2)实验结果与分析 (3)附录 (3)实验二:序列检测器设计 (6)摘要 (6)实验原理 (6)实现方案及仿真 (6)引脚下载 (7)实验结果与分析 (8)实验三:数控分频器的设计 (11)摘要 (11)实验原理 (11)方案的实现与仿真 (11)引脚下载 (12)实验结果及总结 (12)附录 (12)实验四:正弦信号发生器 (14)摘要 (14)实验原理 (14)实现方案与仿真 (14)嵌入式逻辑分析及管脚下载 (16)实验结果与分析 (17)附录 (18)实验一:七段数码显示译码器设计摘要:七段译码器是一种简单的组合电路,利用QuartusII的VHDL语言十分方便的设计出七段数码显示译码器。
将其生成原理图,再与四位二进制计数器组合而成的一个用数码管显示的十六位计数器。
整个设计过程完整的学习了QuartusII的整个设计流程。
实验原理:七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。
本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。
例如当LED7S 输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。
接有高电平段发亮,于是数码管显示“5”。
实验方案及仿真:I、七段数码显示管的设计实现利用VHDL描述语言进行FPGA上的编译实现七段数码显示译码器的设计。
运行QuartusII在G:\QuartusII\LED7S\下新建一个工程文件。
新建一个vhdl语言编译文件,编写七段数码显示管的程序见附录1-1。
分频器的作用
分频器的作用是将输入信号按照一定比例分割成多个输出信号。
它常用于音频和电子信号处理中,以实现不同频率范围的信号分离和处理。
具体而言,分频器可以根据需要将输入信号分成多个频带,使得每个频带可以单独进行处理和调节,以获得更好的音效和信号质量。
在音频系统中,分频器通常被用于分离低音频、中音频和高音频信号,并将其分配到对应的喇叭或扬声器上。
这样可以让每个喇叭或扬声器专注于处理特定频率范围内的信号,从而提高音质和音频的清晰度。
同时,在录音和混音过程中,使用分频器可以将不同频率范围内的乐器声音分离出来,使得后期处理更加精细和准确。
在电子信号处理中,分频器可以将输入信号分为不同的频带,使得对不同频率范围内的信号进行独立处理。
这种信号分离的方式常用于无线通信系统中,可以实现多频道传输和接收,提高信号传输的可靠性和效率。
此外,在图像处理和视频编码中,分频器也被用于将图像或视频信号按照空间频率分割成多个子带,以便进行压缩和处理。
总之,分频器可以根据输入信号的特性将其分割成多个频带,实现对不同频率范围内信号的独立处理和控制。
这在音频和电子信号处理中具有重要的应用价值,能够提高信号处理的效果和质量。
音乐数控分频器计算
一个分频器设计的参考公式,在实际中,分频器的各元件数值可能与这相比有相当大的不同,这是因为扬声器的阻抗特性并不是线性的,而是随着频率的升高同样也在上升。
而且扬声器的频响特性也并不是完全平直的,在它的频响特性曲线上有许许多多的微小峰谷,这些都给分频器的实际设计带来了非常大的影响,因为众所周知的原因,分频器的理论计算公式是建立在以理想电阻取代扬声器的基础上的。
如果是采用加法计数器来实现分频,预置数为2,即起始计数值=2,那么还有个重要的参数是需要知道的,就是计数器的模。
从左到右,触发器输入输出设为D1、Q1,D2、Q2;D1 = Q2,D2 =(Q1+Q2)' ;Q2n = D2;设初态为Q1 = Q2 = 0;那么就是Q1=0,Q2=0,D1=0,D2=1;第1个脉冲到来后,Q1=0,Q2=1--->D1=1--->D2=0;第2个脉冲到来后,Q1=1,Q2=0--->D1=0--->D2=0;第3个脉冲到来后,Q1=0,
Q2=0--->D1=0--->D2=1;此时就回到了初态,从而进入下一个循环,就是每3个时钟脉冲一个循环;这里Q1、Q2互为反相。
电容C的计算公式:
C=1(2πfR)
式中f是分频频率,R是高音扬声器的阻抗。
分频频率可以选择在2~5kHz左右。
例如分频频率选在4kHz,高音扬声器阻抗为8Ω,则1(2π*4000*8)≈0.000005法拉,换算成微法就是5微法。
注意这是个无极性电容。
电容和电感组成效果更好的二分频器。
分频器的作用
分频器是一种电子设备,用于将输入信号按照一定的频率范围进行分割成不同的频率段,输出到不同的信号路径,实现音频或视频信号的分离和处理。
它主要有以下几个作用:
1. 分频功能:分频器可以将输入信号按照设定的频率范围进行分割,将不同频率的信号分别输出到各个信号路径。
通过设定不同的频率范围,可以实现信号的精确分割和处理,避免频率重叠和干扰。
2. 分离信号:分频器可以将混合在一起的不同频率的信号分离出来,实现对不同频率信号的单独处理。
例如,在音频处理中,可以将低频信号和高频信号分别处理,实现不同的音效效果。
3. 调音控制:分频器可以根据不同的频率范围对信号进行调音控制。
例如,在音频控制中,可以通过调节低频、中频和高频的增益和音色,实现对声音的调节和塑造,使其更加丰富和平衡。
4. 分频器可以实现音频或视频的特定频率域处理。
例如,在音频处理中,可以通过分频器将输入音频信号分割成不同的频带,在每个频带上进行特定的音频处理,如均衡、压缩、延迟等,以实现更精确的音频效果。
5. 分频器还可以用于分频音频或视频信号的录制和放音。
例如,在录音过程中,可以通过分频器将输入信号分割成不同的频带,然后分别录制,以实现对不同频段的音频信号进行独立控制和
处理。
总而言之,分频器是一种常用的音频或视频信号处理设备,具有将输入信号按照一定频率范围进行分割的功能,可以实现信号的分离、调音控制和特定频率域处理等作用,为音频和视频的后期处理提供了强大的工具和手段。
实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。
实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。
2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形5 实验总结:此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。
课程设计任务书学生姓名:专业班级:指导教师:工作单位:信息工程学院题目: 电子琴的设计课程设计目的:《FPGA原理与应用》课程设计的目的是为了让学生熟悉基于VHDL语言进行FPGA开发的全流程,并且利用FPGA设计进行专业课程理论知识的再现,让学生体会EDA技术的强大功能,为今后使用FPGA进行电子设计奠定基础。
课程设计内容和要求设计内容:(1)设计一个八音电子琴。
(2)由键盘输入控制音响,同时可自动演奏乐曲。
(3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。
要求每个学生单独完成课程设计内容,并写出课程设计说明书、说明书应该包括所涉及到的理论部分和充足的实验结果,给出程序清单,最后通过课程设计答辩。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)Abstract (2)1设计意义和要求 (3)1.1设计意义 (3)1.2功能要求 (3)2方案论证及原理分析 (4)2.1实现方案比较 (4)2.2乐曲实现原理 (4)2.3系统组成及工作原理 (6)3系统模块设计 (8)3.1顶层模块的设计 (8)3.2乐曲自动演奏模块的设计 (8)3.3音阶发生器模块的设计 (9)3.4数控分频器模块的设计 (9)4程序设计 (11)4.1VHDL设计语言和ISE环境简介 (11)4.2顶层模块的程序设计 (12)4.3乐曲自动演奏模块的程序设计 (13)4.4音阶发生器模块的程序设计 (13)4.5数控分频模块的程序设计 (14)5设计的仿真与实现 (15)5.1乐曲自动演奏模块仿真 (15)5.2音调发生模块仿真 (18)5.3数控分频模块仿真 (19)5.4电子琴系统的仿真 (20)5.5设计的实现 (22)5.6查看RTL视图 (23)5.7查看综合报告 (25)6心得体会 (31)7参考文献 (32)8附录 (33)摘要随着基于FPGA的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。
电子科技大学成都学院学院指导教师模值12计数器,分频器设计二、实验目的1、了解二进制计数器的工作原理。
2、时钟在编程过程中的作用。
3、学习数控分频器的设计、分析和测试方法。
4、了解和掌握分频电路实现的方法。
5、掌握EDA技术的层次化设计方法。
三、实验原理(1)二进制计数器中应用最多、功能最全的计数器之一,含异步清零和同步使能的加法计数器的具体工作过程如下:在时钟上升沿的情况下,检测使能端是否允许计数,如果允许计数(定义使能端高电平有效)则开始计数,否则一直检测使能端信号。
在计数过程中再检测复位信号是否有效(低电平有效),当复位信号起作用时,使计数值清零,继续进行检测和计数。
其工作时序如下图所示:(2)数控分频器的功能就是当输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计完成的,方法是将计数溢出位与预置数加载输入信号相接得到。
(1)“模值12计数器的设计”的实验要求完成的任务是在时钟信号的作用下,通过使能端和复位信号来完成加法计数器的计数。
实验中时钟信号使用数字时钟源模块的1HZ信号,用一位拨动开关K1表示使能端信号,用复位开关S1表示复位信号,用LED模块的LED1~LED4来表示计数的二进制结果。
实验L ED 亮表示对应的位为‘1’,LED灭表示对应的位为‘0’。
通过输入不同的值模拟计数器的工作时序,观察计数的结果。
实验箱中的拨动开关、与FPGA 的接口电路,LED 灯与FPGA 的接口电路以及拨动开关、LED 与F PGA 的管脚连接在实验一中都做了详细说明,这里不在赘述。
数字时钟信号模块的电路原理如下图所示,其时钟输出与F PGA 的管脚连接表如下图所示:信号名称对应FPGA 管脚名说明DIGITAL-CLK C13 数字时钟信号送至FPGA 的C13按键开关模块的电路原理如下图所示:按键开关的输出与F PGA 的管脚连接表如下图所示:五、实验步骤(一)模值12计数器的设计1、建立工程文件1)运行QUARTUSII 软件。
文理学院简易电子琴设计专业:自动化学号:2012118064:一天虹影实验目的使用VerilogHDL语言进行前端设计,并使用Quaruts软件在GW48-PK2实验上实现仿真,实现硬件电子琴。
电子琴要求有8个音阶,使用外部时钟信号3MHz,能同步显示音阶。
1、 设计一个简易电子琴。
要求能演奏的音域为D 调的do 到E 调do 。
2、 用GW48-PK2中的8个按键作为琴键。
3、 GW48-PK2中有蜂鸣器。
4、 可以使用GW48-PK2上的12MHz 作为输入时钟信号设计思路通过可编程逻辑器件(PLD )和VHDL 硬件描述语言来实现电子琴的基本要求。
设计的主体是数控分频器,对输入的频率按照与每个音阶对应的分频系数进行分频,得到各个音阶对应的频率分别在蜂鸣器和数码管上以声音和频率数值的形式作为输出。
设计组成与原理下图为系统的工作原理框图。
分频置 数按 键分 频器12MHZ蜂 鸣 器数码管显示1.音名与频率的关系音乐的12平均率规定:每2个八度音(如简谱中的中音1与高音1)之间的频率相差1倍。
在2个八度音之间,又可分为12个半音。
灵位,音符A(简谱中的低音6)的频率为440HZ,音符B到C之间、E到F之间为半音,其余为全音。
由此可以计算出简谱中所有的音符的频率,在这我们只需计算出中音1到高音1的频率即可。
如下所示:表一简谱音名与频率的对应关系由于各音符对应的频率多为非整数,而分频系数又不能为小数,故必须将计算得到的分频数四舍五入取整。
若分频器时钟频率过低,则由于分频系数过小,四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。
实际的设计应综合考虑两方面的因素,在尽量减少频率误差的前提下取合适的时钟频率。
本实验要求用12MHZ的时钟脉冲信号,所以不必考虑这方面的因素。
实际上,只要各个音符间的相对频率关系不变,弹奏出来的音调听起来是不会走调的。
2.分频系数与初始值(预置数)本例设计的音乐电子琴选取12MHZ的系统时钟频率。
实验三:PWM信号发生器1.实验目的(1)学习Quartus II 8.0 软件的基本使用方法。
(2)学习GW48-CK EDA实验开发系统的基本使用方法。
(3)学习VHDL程序中数据对象,数据类型,顺序语句和并行语句的综合使用。
2.实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的信号的高低电平脉宽可分别由两组8位预置数进行控制。
3.实验条件(1)开发软件:Quartus II 8.0。
(2)实验设备:GW48-CK EDA实验开发系统。
(3)拟用芯片:EPM7128S-PL84。
4.实验要求(1)画出系统原理框图,说明系统中各主要组成部分的功能。
(2)编写各个VHDL源程序。
(3)根据系统功能,选好测试用例,画出测试输入信号波形或编好测试文件。
(4)根据选用的EDA实验开发装置编好用于硬件验证的管脚锁定表格或文件。
(5)记录系统仿真、逻辑综合及硬件验证结果。
(6)记录实验过程中出现的问题及解决办法。
5.实验过程(1)PWM即脉冲宽度调制,就是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。
PWM从处理器到被控制系统信号都是数字式的,无需进行数/模转换。
让信号保持为数字形式可将噪声影响降到最小,因此广泛应用在测量、通信和功率控制与变换的许多领域中。
下图是一种PWM信号发生器的逻辑图,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高、低电平脉宽可分别由两组8位预置数进行控制。
如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置值加载信号LD,则可构成计数器初始值自加载方式的加法计数器,从而构成数控分频器。
图中D 触发器的一个重要功能就是均匀输出信号的占空比,提高驱动能力,这对驱动,诸如扬声器或电动机十分重要。
(2)VHDL源程序①8位可自加载加法计数器的源程序LCNT8.VHD--LCNT8.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LCNT8 ISPORT(CLK,LD:IN STD_LOGIC;D:IN INTEGER RANGE 0 TO 255;CAO:OUT STD_LOGIC);END ENTITY LCNT8;ARCHITECTURE ART OF LCNT8 ISSIGNAL COUNT:INTEGER RANGE 0 TO 255;BEGINPROCESS(CLK)ISBEGINIF CLK'EVENT AND CLK='1'THENIF LD='1'THEN COUNT<=D;ELSE COUNT<=COUNT+1;END IF;END IF;END PROCESS;PROCESS(COUNT)ISBEGINIF COUNT=255 THEN CAO<='1';ELSE CAO<='0';END IF;END PROCESS;END ARCHITECTURE ART;②PWM信号发生器的源程序PWM.VHD--PWM.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY PWM ISPORT(CLK:IN STD_LOGIC;A,B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);PWM:OUT STD_LOGIC);END ENTITY PWM;ARCHITECTURE ART OF PWM ISCOMPONENT LCNT8 ISPORT(CLK,LD:IN STD_LOGIC;D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);CAO:OUT STD_LOGIC);END COMPONENT LCNT8;SIGNAL CAO1,CAO2:STD_LOGIC;SIGNAL LD1,LD2:STD_LOGIC;SIGNAL SPWM:STD_LOGIC;BEGINU1:LCNT8 PORT MAP(CLK=>CLK,LD=>LD1,D=>A,CAO=>CAO1);U2:LCNT8 PORT MAP(CLK=>CLK,LD=>LD2,D=>B,CAO=>CAO2);PROCESS(CAO1,CAO2)ISBEGINIF CAO1='1'THEN SPWM<='0';ELSIF CAO2'EVENT AND CAO2='1'THEN SPWM<='1';END IF;END PROCESS;LD1<=NOT SPWM;LD2<=SPWM;PWM<=SPWM;END ARCHITECTURE ART;(3)仿真结果验证PWM.VHD的时序仿真结果(4)逻辑综合结果6.实验总结经过本次实验,我学会了Quartus II 8.0 开发系统的基本操作,并对它有了一定的了解和认识。
实验七、分频器电路设计一、实验目的1、学习任意分频器的设计方法;2、学习数控分频器的设计、分析和测试方法。
二、实验要求1、基本要求1、设计一个偶分频器2、设计一个2n分频器3、设计一个奇分频器4、设计一个半整数分频器5、设计一个数控分频器2、扩展要求1、设计一个任意N分频计数器。
N为奇数或偶数2、设计一个硬件电子琴电路三、实验原理1、偶数分频对时钟进行偶数分频,使占空比为50%。
只要使用一个计数器,在计数器的前一半时间使输出为高电平,在计数器的后一半时间使输出为低电平,即可得到偶分频时钟。
2、奇数分频对时钟进行奇数分频,使占空比为50%。
先对输入时钟的上升沿进行计数,让一个内部信号在前一半时间(分频系数除2取整)为高电平,后一半时间为低电平;再对输入时钟的下降沿进行计数,让另一个内部信号在前一半时间为高电平,后一半时间为低电平;然后将这内部两个信号相或后即得到奇数分频时钟。
3、2n分频器用一个M(2M>=f0)位的二进制计数器对输入时钟进行计数。
其第0位为输入时钟的二分频,第1位为输入时钟的四分频,第2位为输入时钟的8分频,依此类推,第n-1位为输入时钟的2n分频。
4、半整数分频器分频系数为N=0.5的整数倍的分频器电路可由一个异或门、一个模N的计数器和一个2分频构成。
通用半整数分频器电路组成如图7-1所示。
图7-1 通用半整数分频器电路框图与原理图5、数控分频器数控分频器的功能就是在输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比。
数控分频器一般是用计数值可并行预置的加法计数器完成的,方法是将计数溢出位与预置数加载输入信号相接即可。
四、实验步骤建立一个工程项目,路径如:D:\20050837\seventh ,项目名和顶层实体名为freq_div 。
1、设计一个分频系数可预置的偶分频器(如8分频器),并进行编译仿真。
2、设计一个2n 分频器(如输入频率为1024HZ ,输出频率为256HZ ,64Hz ,4Hz 等),并进行编译仿真。
EDA实验指导(基于DE2-115)信息科学与工程学院电子信息系徐雯娟编著EDA实验指导(基于DE2-115)实验一:一位全加器设计——原理图设计初步以下拟通过1位全加器的设汁,介绍原理图输入的基木设计方法。
软件基于quartus213.0版本。
1位全加器可以用两个半加器及一个或门连接而成,因此需要先完成半加器的设计。
下面将给出使用原理图输入的方法进行底层元件设计和层次化设计的主要步骤。
1.新建工程点击两次“next”后,如下图。
假设本项设计的文件夹取名为adder4,路径为:d:\ex\adder4(建议大家把所有的EDA实验都放在一个文件夹中,如ex,然后为每个实验在这个文件夹中新建一个文件夹,以实验名命名,如adder4)。
选择目标芯片:cycloneIVE系列的EP4CE11529C7,如图:直接next,之后到达完成界面,这里会看见关于整个工程的一些信息,核对一下是否正确,然后点击“finish”。
此时界面上会出现顶层文件名和项目名:2.新建原理图文件原理图编辑输入流程如下:(1)新建原理图文件。
打开QuartusII,选菜单“File”一“New”,在弹出的“New-”对话框中选择“Design Files”的原理图文件编辑输入项“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗。
(2)在编辑窗中调入元件,完成半加器的原理图输入。
点击按纽“”或直接双击原理图空白处,从“Symbol”窗中选择需要的符号,或者直接在“name”文本框中键入元件名,如“and2”为2输入与门,点OK按钮,即将元件调入原理图编辑窗中。
例如为了设计半加器,分别调入元件and2,not,xnor和输入输出引脚input和output。
并如图用点击拖动的方法连接好电路。
然后分别在input和output的PIN NAME上双击使其变黑色,再用键盘分别输入各引脚名:a、b,co和s。
分频器的作用分频器(Divider)是一种电路或设备,用于将输入信号的频率降低到所需的较低频率。
分频器常用于各种电子设备和通信系统中,用于频率调制、信号处理和时钟分配等应用。
它能够将高频信号分割成较低频率的多个信号,并且能够根据要求将其相等分割或者按照一定比例分割。
分频器的作用非常重要,它可以帮助我们实现许多电子设备中的基本功能。
以下是分频器的一些常见作用:1. 时钟分配和同步:分频器常用于电子设备中的时钟分配和同步功能。
在计算机和通信系统中,时钟信号非常重要,它用于同步各个部件的操作。
分频器可以将高频的时钟信号分割成较低频率的时钟信号,以满足不同部件的需要,并确保它们的操作同步。
2. 频率调制:在无线通信和广播领域,频率调制是常见的技术。
分频器可以将信号的频率分割成所需的频率范围,并通过调整分频器的分频比例来实现频率调制的功能。
这种技术在调频广播、调制解调器和移动通信等领域得到广泛应用。
3. 信号处理:分频器在信号处理中也扮演着重要的角色。
在音频和视频处理中,需要对信号进行分析、处理和合成。
分频器可以将输入信号分割成多个子频带,然后对每个子频带进行处理,以实现各种音频和视频效果。
4. 时序控制:在数字电子系统中,时序控制是非常重要的。
分频器可以根据设定的分频比例和频率,生成所需的时序信号,以控制各个部件的操作顺序和时序。
这对于数字电子系统的正常运行非常关键,例如CPU的控制和数据传输等。
5. 频率测量:分频器还可以用于频率测量。
通过将待测频率输入到分频器中,并逐渐变化分频比例,可以实现对频率的精确测量。
这对于频率标准的校准和频谱分析等应用非常有用。
总结起来,分频器在各种电子设备中具有重要作用。
它可以用于时钟分配和同步、频率调制、信号处理、时序控制和频率测量等多个方面。
通过分频器的应用,我们可以实现更加灵活和高效的电子系统和通信系统。
同时,分频器的设计和优化也是一个非常重要的研究和开发领域,为我们的技术发展提供了不可或缺的基础。
数控分频器的设计XXX1.实验目的学习数控分频器的设计、分析和测试方法。
2.实验原理数控分频器的功能就是当在输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细的设计程序如下:3.分析根据图中的波形提示,分析例中的各语句的功能设计原理及逻辑功能,详述进程P_REG和P_DIV的作用,并画出该程序的RTL电路图。
设计原理、系统结构实验程序library ieee;--数控分频器设计文档use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dvf isport ( clk : in std_logic; -- clk 时钟信号输入D : in std_logic_vector(7 downto 0);-- D值用于设置不同的分频值fout : out std_logic );-- 分频后输出的信号end;architecture one of dvf issignal full : std_logic;-- 进位溢出标志,用于进程间信号传递beginp_reg: process(clk) -- 八位加法计数器variable cnt8 : std_logic_vector(7 downto 0); -- 定义内部变量cnt8,用于八进制计数beginif clk'event and clk='1' thenif cnt8="11111111" thencnt8 := D; -- 当CNT8计数满时,输入数据D被同步预置给计数器CNT8 full <='1'; -- 同时使溢出标志信号FULL输出为高电平else cnt8 :=cnt8+1; -- 否则继续作加1计数full <= '0'; -- 且输出溢出标志信号FULL为低电平end if;end if;end process p_reg;p_div: process(full) – D触发器(实现2分频)variable cnt2:std_logic; --定义内部变量cnt2beginif full'event and full='1' thencnt2 := not cnt2; -- 如果溢出标志信号FULL为高电平,D触发器输出取反if cnt2='1' then fout <='1'; else fout <='0'; -- 当cnt2为’1’时,输出高电平,end if; -- 否则为低电平end if;end process p_div;end;系统通过一个八位的加法计数器通过设置不同的起始值,由进位输出产生不同的频率输出。
《电子技术基础Ⅲ》项目设计报告课程名称任课教师设计题目乐曲硬件演奏电路设计班级姓名学号成绩日期一、题目分析1.设计要求利用FPGA,设计一硬件乐曲硬件演奏电路,能够利用硬件电路,自动播放音乐。
2.题目分析乐曲是由一连串的音符组成,而每个音符的发音频率值及其持续时间是乐曲能连续演奏所需的两个基本因素。
所以,设计音乐发生电路,需要得到相应音符的频率值,及其持续时间。
如果能够得到这两个基本元素,利用程序来控制FPGA 某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。
所以,获取这两个要素是本实验的关键。
(1)频率值频率的高低决定了音调的高低。
由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱,依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。
简谱的音名与频率的关系如下表:表1 简谱中的音名与频率的关系(2)时长这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz 的时钟频率即可产生四分音符的时长。
系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒,如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐曲文件的时候就会连续读到三次,也就会发三个0.25秒的音长,这时我们听上去就会持续了三拍的时间,这样就可以控制音乐的音长了。
二、设计方案1.顶层实体描述音乐播放器,是能够演奏一曲完整的乐曲,在外部时钟的作用下,产生相应频率的方波信号,即在乐曲中对应相应的音符。
并且信号要持续一定的时间,即对应乐曲中的节拍。
得到了音调和节拍后,就可以组成相应的乐曲了。
2.模块划分采用“自顶向下”的设计方法,将音乐播放器模块主要划分为地址发生模块、数控分频模块、music 模块和预置数模块。
(1)地址发生模块:增地址计数器,用于产生地址数。
数控分频器1.1法度榜样计数分频器 (2)1.1.1标题请求 (2)1.1.2 筹划论证 (2)1.2(实训题标题)波形产生器与计数器 (4)1.2.1标题请求 (4)1.2.2筹划论证 (4)二电子线路设计与实现 (6)2.1法度榜样计数分频器电路设计 (6)2.2波形产生器与计数器电路设计 (6)三成果与分析 (8)3.1法度榜样计数分频器的实现 (8)3.2.1波形产生与计数器的实现 (8)3.2.2 实际后果 (10)四总结与领会 (12)参考文献 (13)附录 (14)波形产生与计数器焊接PCB图 (15)一标题请求与筹划论证1.1法度榜样计数分频器1.1.1标题请求用已经控制的mulitisim的相干常识,在mulitisim的运行情况下设计并仿真一个法度榜样计数分频器的实验,应用74LS138以及两片74LS195构成模值为2-8的法度榜样计数分频器,请求实现的功能如下:表1 法度榜样计数分频器功能表1.1.2 筹划论证图1 体系整体模块图表3 74LS195D 功能表由功能表可知,74LS138的G2A 和G2B 输入端中只要有一个是高电平,不管G1和CBA 是高电平照样低电平,Y0~Y7输出的都是高电平,若G1输入低电平,Y0~Y7输出的也都是高电平,在G1、G2A 以及G2B 端输入的是H 、L 、L 时,输出端Y0~Y7受CBA 三个输入端控制,并且Y 输出低电平有效,并且是呈阶梯状分布,如许可实现3线-8线译码器的功能,再经由过程该译码器适本地控制芯片74LS195就可实现法度榜样计数分频器。
至于74LS195,当输入端MR 是低电日常平凡,不论P E 、J 、K 、n P 输入低电平照样高电平,输出端Q 0~ Q 3都是低电平,所以MR 端是高电平有效,并且当PE 输入是高电日常平凡,该芯片具有移位存放器的功能(看图1的时序图可知),然则当PE 端输入是低电日常平凡,不论JK 端输入什么电平,输出端n n P Q ,恰是因为该芯片有移位存放器的功能,再加上译码器,要实现分频器的功能就不成问题了,所以要实现分频器的关键在移位存放器上。
图2 74LS195时序图1.2(实训题标题)波形产生器与计数器1.2.1标题请求根据给定的用LM324及其给定参数的电阻、电位器、电容构成的方波-三角波产生电路道理图及其PCB 板,分析电路构造,请求如下:(1)根据模仿电路和数字电路理论分析电路根本功能,获得方波和三角波频率计算式;(2)用Multisim 软件进行电路仿真,改变电位器的阻值,查看计数器的输出状况;并在电容C1为1uF,电位器R21阻值为100K和50K 时用虚拟示波器记录方波和三角波的波形,并分别记录其频率。
(3)焊接电路并测试。
在电容C1为1uF,电位器R21阻值为100K和50K时用数字示波器记录方波和三角波的波形,并分别记录其频率。
当计数显示以1HZ频率时,计下当前电位器的阻值。
1.2.2筹划论证表3 74LS90芯片功表图3 74LS90芯片分析74LS90芯片的引脚图和功能表]3[可知。
要实现计数功能,必须将74LS90的2、3引脚中的随便率性一个和6、7引脚中的随便率性一个接低电平,剩下的两脚随便接。
为此在设计计数部分电路的时刻,将2、3引脚和6、7引脚分别连接起来。
6、7引脚接低电平,2、3引脚接于有开关控制的高电平。
当开封闭应时,2、3引脚接入的是高电平,实现不了计数功能。
当开关断开时,2、3引脚接入低电平,知足74LS90芯片要实现计数功能的请求。
如许将引脚8、9、11、12经由过程响应的元器件连接到数码管便可计数了。
对于波形产生器部分,结合模电进修过程中进修到的相干常识,要产生一个矩形波,可在迟滞比较器的基本上,增长一个由Rf、C构成的积分电路,把输出电压经Rf、C反馈到比较器的反相端。
电路图如图4 。
图4 矩形波产生电路图5 波形转化获得三角波而三角波部分,可在矩形波产生电路的右侧加接一个形如图5的电路。
要不雅察产生的矩形波和三角波的波形,可将V0端和U0端分别接到示波器上。
为了不雅察计数功能,必须将波形产生端和计数器端连接起来,如许完全的波形产生器与计数器电路就形成了。
而为了不雅察电阻阻值对波形的影响,可接入一滑动变阻器,经由过程改变接入阻值来不雅察波形。
二 电子线路设计与实现2.1法度榜样计数分频器电路设计图6法度榜样计数分频器设计电路图法度榜样计数分频器的设计重要有一片74LS138D 和两片74LS195D 构成。
74LS138D 是3—8线译码器。
C 、B 、A 为译码器的旌旗灯号输入端。
经由过程译码器所需频比C 、B 、A 译成八位二进制数01234567Y Y Y Y Y Y Y Y ,个中有一位i Y 为0,其余全为1.它代表译码器输入的分频比,再经由过程两片4位移位存放器74LS195D 对二进制信息01234567Y Y Y Y Y Y Y Y 进行移位操作,当i Y 被移到3Q 输出时,解释输出开端变更,产生降低沿;鄙人一脉冲到来时输出又回到本来的高电平,产生一负脉冲,解释i Y 被移到3Q 电路已经实现分频。
让—LD SH /对两片两片4位移位存放器74LS195D 从新计数开端认为轮回。
2.2波形产生器与计数器电路设计本波形产生与计数器的设计可以分为两个部分:波形产生部分及法度榜样计数部分。
波形产生部分主如果迷你电路,重要由两个LM324运算放大年夜器构成,分别产生了矩形波和三角波,三角波由矩形波通积分电路获得。
图中电位器,经由过程调节其阻值可以改变矩形波和三角波的频率,经由过程数字部分的数码显示部分可以直不雅的不雅察频率的变更。
数码显示部分主如果数字电路,重要有两片74LS90D 、两片4511BP_5V 和两个数码管构成。
U174LS138DY015Y114Y213Y312Y411Y510Y69Y77A1B 2C 3G16~G2A 4~G2B 5VCC5VVCC5VJ2Key = AJ1Key = BJ3Key = CU274LS195DA 4B 5C 6D 7~K 3QA 15QB 14QC 13QD 12J 2~CLR 1SH/~LD 9CLK10~QD11U374LS195DA 4B 5C 6D 7~K 3QA 15QB 14QC 13QD 12J 2~CLR 1SH/~LD 9CLK10~QD11XLA1C Q T1FV1500kHz 5 VGNDJ4Key = DO D 10O E 9O F 15O G14_5VO D 10O E 9O F 15O G14Key = A U6B图7 波形产生器与计数器仿真图分析左侧波形产生部分。
在接通电源的刹时,输出电压毕竟偏于正向饱和照样负向饱和,那纯属有时。
设输出电压偏于正向饱和值,加到电压比较器同相端的电压为+FVz,而加于反相器的电压,因为电容器C 上的电压Vc 不克不及突变,只能有输出电压V0经由过程电阻R19按指数规律向C 充电来建立。
显然,当加到反相器的电压Vc 略正于+FVz 时,输出电压便急速从正饱和值(+Vz )敏捷翻转到负饱和值(—Vz ),—Vz 又经由过程R19对C 进行反向充电,直到Vc 略负于—FVz 值时,输出状况在翻转回来。
如斯轮回,便形成了矩形波输出。
将滞回比较器和积分器首尾相接形成正反馈闭环体系。
比较器输出的矩形波经积分器积分便可获得三角波。
分析右侧计数电路部分。
计数部分采取74LS90芯片结合接地电源和接开关的5V 电源来控制完成计数功能。
计数器采取降低沿触发计数,当波形达到降低沿时,计数器累加。
数码管由00到99进行累加计数。
累加快度和左侧波形变更速度保持一致。
根据模仿电路和数字电路理论分析电路根本功能,获得方波和三角波频率计算式:电路的振荡频率:1191604RwC R R f =方波的幅值:Z U U -=0 三角波的幅值:1619R U R U Zlm -=三 成果与分析3.1法度榜样计数分频器的实现经由过程译码器所需频比C 、B 、A 译成八位二进制数01234567Y Y Y Y Y Y Y Y ,个中有一位i Y 为0,其余全为1。
例如:当CBA 输入111时,01234567Y Y Y Y Y Y Y Y 输出01111111。
它代表译码器输入的分频比,再经由过程两片4位移位存放器74LS195D 对二进制信息01234567Y Y Y Y Y Y Y Y 进行移位操作,当i Y 被移到3Q 输出时,解释输出开端变更,产生降低沿;鄙人一脉冲到来时输出又回到本来的高电平,产生一负脉冲,解释i Y 被移到3Q 电路已经实现分频。
让—LD SH /对两片两片4位移位存放器74LS195D 从新计数开端认为轮回。
图8 法度榜样分频器仿真成果(八分频)3.2.1波形产生与计数器的实现 (1)在电容C1为1uf ,电位器R 21阻值为100Ωk 时用数字示波器记录方波和三角波的波形,并分别记录其频率:ms ms T 4001004=⨯=, HZ Tf 5.21==图9 R21=100Ωk 时的波形图(2)在电容C1为1uf ,电位器R21阻值为50Ωk 时用数字示波器记录方波和三角波的波形,并分别记录其频率:ms ms T 2501005.2=⨯= HZ Tf 41==图10 R21=100Ωk 时的波形图(3)当计数显示以1HZ频率时,计下当前电位器的阻值。
R21=120Ωk图11 f=1HZ时的波形3.2.2 实际后果焊接完成的电路,在接入0.5V电压下实现计数功能的后果图和多圈电位器的阻值调到50Ωk和100Ωk情况下的波形图如下。
如图12 所示,该图为焊接完成的电路在接入5V电压下实现的计数功能。
实际不雅察时,可以发明数码管从0计数到99。
当按下按钮后,从0开端从新计数。
如图9和图10分别是多圈电位器的阻值在50Ωk和100Ωk情况下的波形图。
由图9不雅察可知,此时波形周期T=5.0*50ms=250ms,即其频率为f=4Hz;而图10 的波形周期为T=5.1*100ms=510ms,即其频率为f=1.96Hz。
分析图12电路板什物图可知,改变多圈电位器的阻值可以改变波形的周期。
k情况下波形图13 R21=100Ωk情况下波形图14 R21=50Ω图15f=1HZ的波形图四总结与领会两周的数电实训让我们对数电方面的常识以及芯片74LS138、74LS195D有了更深的懂得,也让我们熟悉到了数电是如何应用到实际方面的,在做数电设计时所用到的mulitisim 仿真软件更是做数电设计时必弗成少的好助手。
此次做的法度榜样计数分频器的构造重要包含一块74LS138芯片和两块74LS195D芯片,固然看上去构造有点简单,但它实现的功能却不简单,它可以经由过程控制开关来实现法度榜样计数的分频,该法度榜样计数分频器可实现2-8的分频,别的做的波形产生器和计数器固然一半是模仿电路一半是数字电路,但它却让我们看到了模电和数电的融合,这就暗示了我们在学好数电的同时还得学好模电,因为模仿和数字的结合在如今的电子范畴中应用异常广泛,学好了数电和模电肯定会在今后的工作中大年夜有赞助的。