华北电力大学数电综合实验移位寄存器彩灯控制器
- 格式:doc
- 大小:196.59 KB
- 文档页数:8
目录1 技术指标 (1)1.1 技术要求 (1)1.2 初始条件 (1)2 设计方案及其比较 (1)2.1 彩灯循环控制电路的基本原理 (1)2.2 方案一 (2)2.2.1 电路原理框图及原理图 (2)2.2.2 方案一电路原理分析 (2)2.2.3 方案二Proteus仿真实现 (3)2.3 方案二 (4)2.3.1 电路原理框图及原理图 (4)2.3.2 方案二电路原理分析 (4)2.3.3 方案二Proteus仿真实现 (5)2.4 方案三 (5)2.4.1 电路原理框图及原理图 (5)2.4.2 方案三电路原理分析 (6)2.4.3 方案三Proteus仿真实现 (7)2.5 方案比较 (7)3 实现方案 (8)3.1 实现方案元件清单 (8)3.2 实现电路原理分析 (8)3.2.1 矩形脉冲产生电路 (8)3.2.2 彩灯循环控制电路 (12)3.2.3选通发光二级管及显示电路 (15)3.2.4 实现方案总电路图及原理分析 (15)3.3 实现方案面包板布线图 (16)4 调试过程及结论 (18)4.1 Proteus仿真结果 (18)4.2 面包板线路调试过程 (18)4.3 调试出现的问题 (19)4.4 结论 (19)5 心得体会 (20)6 参考文献 (21)彩灯循环控制电路的设计1 技术指标1.1 技术要求设计一种利用发光二极管作为彩灯指示,实现发光二极管依次点亮形成移动的光点,并不断循环的彩灯循环控制电路,要求可以实现彩灯循环的时间可以调节。
1.2 初始条件直流可调稳压电源一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具。
2 设计方案及其比较2.1 彩灯循环控制电路的基本原理彩灯循环控制电路的设计主要思路为:首先产生CP 脉冲,输出送给彩灯循环控制电路的时钟脉冲输入端,由彩灯循环控制电路驱动二极管发光,采用共阴极或者共阳极连接,彩灯循环电路的结构框图如图1所示。
多路彩灯控制器设计一、课程设计题目课程设计题目:多路彩灯控制器二、任务和要求彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型。
彩灯控制器是以高低电平来控制彩灯的亮灭。
实现彩灯控制可以采用EPROM编程、RAM编程、可编程逻辑器件、单片机等实现。
在彩灯路数较少,花型变换比较简时,也可用移位寄存器实现。
在实际应用场合彩灯可能是功率较大的发光器件,需要加以一定的驱动电路。
本课题用发光二极管LED模拟彩灯,可以不用驱动。
(此次课程设计采用面包板来实现)现要求设计一个8路移存型彩灯控制器,彩灯用发光二极管LED 模拟,具体要求如下:1.能演示三种花型,花型自拟。
2.选做:彩灯明暗变换节拍为1.0s和2.0s,两种节拍交替运行。
三、总体方案的选择根据题目的任务、要求和性能指标,经过分析与思考,得出以下方案:整体电路分为四个模块:第一个模块实现节拍的发生;第二个模块实现快慢两种节拍的控制;第三个模块实现花型的控制;第四个模块实现花型的显示。
主体框图如下:四、单元电路的设计1.设计所使用的元件及工具:器件清单如下:2.各个单元电路的具体实现(1)节拍部分①节拍发生电路节拍是整个电路功能实现的基础及其他模块进行调试的必需条件,故首先实现节拍发生模块。
0.5s 节拍选用由555及相关器件构成的多谐振荡器电路实现。
由于输出波形中低电平的持续时间,即电容放电时间为C R t w 227.0=低电平的持续时间,即电容放电时间为C R R t w )(7.0211+=因此电路输出矩形脉冲的周期为C R R t t T w w )2(7.02121+=+=输出矩形脉冲的占空比为212112R R R R T t q w ++==当12R R >>时,占空比近似为50%。
电容取:4.7μf 0.01μf 电阻取:2R =150 k Ω =1R 4.7 k Ω考虑到还要产生周期为2.0s 节拍故可在555基础上连接74LS74芯片达到分频的作用,电路图如下:产生脉冲波形如图:②节拍选择电路把节拍产生电路产生的1Hz和0.5Hz的节拍送入74ls151芯片的D0和D1端,再由74LS151的地址端的控制选择出1Hz或0.5Hz的频率。
电工电子课程设计实验报告题目名称:彩灯控制器指导教师:姓名:学号:专业班级:日期:前言电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。
它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。
完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。
近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。
在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。
因此用集成电路来实现更多更复杂的器件功能则成为必然。
现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。
绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。
本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。
目录前言 1一、课题设计任务及要求 .3二、设计目的 3三、优选设计方案 4四、整体设计思想及原理框图 5五、各模块设计与分析 61、脉冲发生电路 72、控制电路和译码电路 103、存储电路 124、数码管显示电路 .14六、元器件清单 15七、安装及调试中出现的问题和解决方法 15八、设计感想 17附录一、实验电路图 20二、实验电路连接图 .21三、参考文献 21一、课题设计任务及要求课题名称:可编程彩灯控制器设计任务及要求:通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。
《数字逻辑电路》彩灯控制电路实训报告1 整机设计1.1 设计要求1.1.1设计任务利用所学的数字逻辑电路的相关理论知识设计并制作一个彩灯控制电路。
1.1.2性能指标要求1. 具有控制彩灯右移、左移、全亮及全灭等功能;2. 彩灯移动至少有 4 种速度;3. 可以实现循环左、右移;4. 彩灯的布图形状随意。
1.2 整机实现的基本原理及框图1.2.1基本原理彩灯控制电路利用移位寄存器(SN74LS194N)、定时器(NE555)和控制逻辑电路等元件相互配合,通过控制移位寄存器的状态和定时器的脉冲信号,实现彩灯的亮灭和移动效果。
1.2.2总体框图2 各功能电路实现原理及电路设计1. 脉冲产生电路使用NE555定时器芯片,NE555工作在脉冲发生器模式下,产生可调节频率的方波脉冲信号。
通过调节电阻和电容值,可以调节脉冲的周期。
2. 移位寄存器电路使用74LS194N移位寄存器芯片,它可以存储和移动数据。
在这里,我们将其配置为移动模式,用于控制彩灯的移动和亮灭。
3 制作与调试过程用 Altium Designer 软件画出电路原理图和 PCB 图;根据提供的电路设计图和所需元件清单,准备好所需的电子元件和工具;将元件按照电路设计图的连接方式焊接在电路板上。
确保焊接的连接正确并且没有短路;仔细检查电路的连接,确保所有元件都正确连接,并且没有接触不良或短路现象;将电路连接到电源上,确保电源的电压和电流符合元件的额定值,并确保极性正确。
逐步测试电路的各个功能模块,首先测试脉冲产生电路,确保它能够产生可调节周期的脉冲信号;然后测试移位寄存器电路,确保它能够正确存储和移动数据。
4 电路测试4.1 测试仪器与设备示波器;信号发生器;万用表。
4.2 功能测试使用开关,测试彩灯控制电路对按键的响应,观察LED指示灯的状态。
5 实训结论本次实训中,我们设计了一个彩灯控制电路,包括脉冲产生电路和移位寄存器电路。
通过功能测试,我得出了以下结论:1. 彩灯控制电路可调周期的脉冲产生电路,使用NE555定时器芯片实现。
北华大学数字电子实习报告彩灯控制器的设计姓名:XXX班级:XX-XX-XX学号:XXXXXXXXX院系:电气信息工程学院指导教师:张炜实习日期:XXXX.XX.XX-XXXX.XX.XX一、实习目的和任务(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。
6.熟练使用EDA技术的辅助软件(Quartus II11.0)(三)、实习任务1.设计一个能实现8路彩灯循环显示彩灯控制器。
2.完成如下三种花型花型1:从左至右顺次渐亮。
全亮后逆序渐灭。
花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。
花型3:8路灯分两半。
从左至右渐亮,全亮后,再分两半从左至右渐灭。
移存器输出状态编码表二、实习的基本要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,基本要求:1. 8路彩灯能演示三种花型;2. 彩灯用发光二极管LED模拟;选做:实现快慢两种节拍的变换。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3. 注意布线,要直角连接,选最短路径,不要相互交叉;4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三、软件介绍Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
彩灯控制器实验报告彩灯控制器实验报告一、引言彩灯作为一种常见的装饰灯具,广泛应用于各种场合,如节日庆典、婚礼晚会等。
为了实现彩灯的多样化控制效果,我们进行了一系列的实验,研究了彩灯控制器的原理和应用。
本报告将详细介绍实验的目的、方法、结果和讨论。
二、实验目的本实验的目的是设计并实现一种彩灯控制器,能够控制彩灯的亮度、颜色和闪烁频率。
通过实验,我们希望了解彩灯控制器的工作原理,并掌握其设计和调试方法。
三、实验方法1. 实验器材准备:彩灯、控制器、电源、电线等。
2. 搭建实验电路:将控制器与彩灯连接,接通电源。
3. 设置控制参数:根据实验要求,设置彩灯的亮度、颜色和闪烁频率。
4. 进行实验观察:观察彩灯的亮度、颜色和闪烁频率的变化,并记录实验数据。
5. 实验分析:根据实验数据,分析控制器的工作原理和性能。
四、实验结果经过实验观察和数据记录,我们得到了以下实验结果:1. 彩灯亮度控制:通过调节控制器的电压输出,可以实现彩灯的亮度控制。
当电压升高时,彩灯亮度增加;当电压降低时,彩灯亮度减小。
2. 彩灯颜色控制:通过控制器的颜色选择开关,可以实现彩灯的颜色切换。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变彩灯的颜色。
3. 彩灯闪烁控制:通过调节控制器的闪烁频率,可以实现彩灯的闪烁效果。
当闪烁频率较低时,彩灯呈现持续亮灭的效果;当闪烁频率较高时,彩灯呈现快速闪烁的效果。
五、实验讨论通过实验结果的观察和分析,我们可以得出以下结论:1. 彩灯控制器的亮度控制原理是通过调节电压输出来改变彩灯的亮度。
这是因为彩灯的亮度与电流大小有关,而电流大小又与电压成正比。
2. 彩灯控制器的颜色控制原理是通过改变电路连接方式来改变彩灯的颜色。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变电路连接方式,从而改变彩灯的颜色。
3. 彩灯控制器的闪烁控制原理是通过调节闪烁频率来改变彩灯的闪烁效果。
闪烁频率较低时,彩灯呈现持续亮灭的效果;闪烁频率较高时,彩灯呈现快速闪烁的效果。
数字电子课程设计 - 彩灯控制器引言彩灯控制器是一种常见的数字电子设备,用于控制彩色灯光的亮度、颜色和灯光效果。
数字电子课程设计旨在帮助学生综合应用数字电子技术的知识和技能,设计和实现一个功能完善的彩灯控制器。
本文档将介绍彩灯控制器的设计要求、主要功能以及具体的实现方案。
设计要求彩灯控制器的设计要求如下:1.支持至少三种颜色的灯光控制,例如红色、绿色和蓝色。
2.支持灯光的亮度调节,可以实现灯光的明亮和昏暗。
3.支持多种灯光效果,例如呼吸灯、闪烁等。
4.支持用户输入,例如通过按钮或旋钮来调整亮度和选择不同的灯光效果。
5.具备良好的用户界面,可以显示当前的灯光状态、亮度以及选定的灯光效果。
主要功能彩灯控制器的主要功能包括:1.控制灯光颜色:用户可以通过选择不同的颜色来控制LED灯的亮度,并实现彩色灯光的效果。
2.调节灯光亮度:用户可以通过旋钮或按钮来调节灯光的亮度,实现灯光的明亮和昏暗效果。
3.选择灯光效果:用户可以通过按钮或旋钮来选择不同的灯光效果,例如呼吸灯、闪烁等,增加灯光的变化和趣味性。
4.显示当前状态:用户界面可以显示当前选择的灯光颜色、亮度和效果,方便用户了解当前的灯光状态。
实现方案彩灯控制器的实现主要涉及到硬件和软件两个方面。
硬件设计硬件设计包括以下组成部分:1.控制器芯片:选择一款适用的微控制器或单片机作为控制器芯片,用于控制灯光的亮度和颜色,以及处理用户输入和显示当前状态。
2.彩灯模块:选择合适的LED灯模块,支持至少三种颜色的灯光控制,例如使用RGB灯模块。
3.输入设备:选择适当的输入设备,例如按钮、旋钮或触摸屏,用于用户调节亮度和选择灯光效果。
4.显示设备:选择合适的显示设备,例如LCD屏幕或LED显示,用于显示当前的灯光状态、亮度和选定的灯光效果。
软件设计软件设计包括以下主要任务:1.控制器程序:设计控制器程序,实现灯光亮度和颜色的控制,以及处理用户输入和显示状态。
2.输入处理:编写代码处理用户输入,例如监听按钮或旋钮的状态变化,并根据输入调整灯光亮度和选择效果。
实习报告:八路彩灯控制器设计与实现一、实习背景及目的随着科技的不断发展,电子产品在日常生活中扮演着越来越重要的角色。
本次实习旨在让同学们更好地掌握数字电子技术知识,提高动手实践能力。
本次实习任务为设计并实现一个八路彩灯控制器,通过控制发光二极管的亮灭,实现多种流动变化的灯光效果。
二、实习内容与过程1. 了解彩灯控制器的工作原理彩灯控制器主要由555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等组成。
通过555定时器产生多谐振荡信号,计数器累计输入脉冲个数,双向移位寄存器存储计数器输出的十进制数,显示译码管将十进制数转换为对应的灯光显示。
2. 设计电路图根据彩灯控制器的工作原理,设计电路图包括以下部分:(1)振荡电路:采用555定时器、电容、电阻等组成多谐振荡电路。
(2)计数器电路:采用十进制计数分频器CT74LS194,用于累计和寄存输入脉冲个数。
(3)显示电路:由发光二极管组成,当CT74LS194的输出端依次输出高电平时,驱动发光二极管依次点亮。
(4)控制电路:采用门电路控制计数器和双向移位寄存器的输入端,实现不同灯光效果的控制。
3. 制作PCB板根据电路图,利用Protel软件设计PCB板,并进行印刷电路板制作。
在制作过程中,注意走线合理,避免信号干扰。
4. 电路调试与验证将制作好的PCB板焊接完毕后,进行电路调试。
通过改变控制电路的输入信号,观察发光二极管的亮灭情况,验证电路是否满足设计要求。
三、实习心得与收获通过本次实习,我对数字电子技术有了更深入的了解,掌握了八路彩灯控制器的设计与实现方法。
在实习过程中,我学会了如何阅读电路图,分析电路原理,设计电路图,制作PCB板,并进行电路调试。
同时,本次实习也培养了我的团队协作能力和动手实践能力。
总之,本次实习使我受益匪浅,对我今后的学习和职业发展具有重要意义。
在今后的学习和工作中,我将继续努力,不断提高自己的数字电子技术水平,为我国的电子科技事业做出贡献。
移位寄存器型彩灯控制器课程设计(综合实验)报告( 2014-- 2015年度第一学期 )名称:电子技术综合实验题目:移位寄存器彩灯控制器院系:电气与电子工程学院班级:信息1301班学号: 1131200121 学生姓名:王德勇指导教师:赵东设计周数:一周成绩:日期: 2015 年 01 月 09 日目录任务书 (1)一、课程设计(综合实验)的目的与要求 (3)二、设计框图及电路系统概述 (4)三、各单元电路的设计方案及原理说明、参数计算 (5)四、调试过程及结果分析 (9)五、设计、安装及调试中的体会 (10)参考文献1 (11)附录设计流程图、程序、表格、数据等12《电子技术》综合实验任务书一、目的与要求1.目的1.1综合实验是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。
2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
2.5学会撰写综合实验总结报告。
2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
课程设计(综合实验)报告( 20 -- 20 年度第学期)名称:数字电子技术综合实验题目:移位寄存器型彩灯控制器院系:班级:学号:学生姓名:指导教师:设计周数:成绩:日期:年月日一、课程设计(综合实验)的目的与要求1、目的2、要求二、设计框图及电路系统概述1、理论原理框图2、电路概述三、各单元电路的设计方案及原理说明、参数计算1、节拍发生器2、控制信号产生电路3、花型产生器4、完整电路图四、设计、安装及调试中的体会五、参考文献附录(设计流程图、程序、表格、数据等)《电子技术》综合实验任务书一、目的与要求1.目的综合实验是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2.要求能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。
进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
学会撰写综合实验总结报告。
通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。
一、实训目的本次实训旨在通过实际操作,使学生进一步掌握数字电路课程所学的理论知识,熟悉常用集成数字芯片的工作原理,并学会使用其进行电路设计。
同时,通过实训培养学生的科学分析和解决问题的能力,以及严谨的工作作风和实事求是的工作态度。
二、实训内容1. 实训项目:设计一个8路移存型彩灯控制器,实现彩灯快慢两种节拍的变换,8路彩灯能演示三种花型,彩灯用发光二极管LED模拟。
2. 实训要求:(1)设计电路实现题目要求;(2)电路在功能相当的情况下设计越简单越好;(3)注意布线,要直角连接,选最短路径,不要相互交叉;(4)注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三、实训过程1. 确定设计方案根据题目要求,经过分析与思考,我们选择以下设计方案:(1)采用8位D触发器74LS74作为移位寄存器,实现彩灯的移位控制;(2)利用8位并行输入/输出锁存器74LS373实现彩灯的输出控制;(3)通过分频电路产生快慢两种节拍的控制信号;(4)使用CD4511编码器实现三种花型的选择。
2. 电路设计根据设计方案,我们设计了以下电路:(1)移位寄存器电路:由74LS74芯片构成,用于实现彩灯的移位控制;(2)输出锁存器电路:由74LS373芯片构成,用于实现彩灯的输出控制;(3)分频电路:由555定时器构成,产生快慢两种节拍的控制信号;(4)编码器电路:由CD4511芯片构成,用于实现三种花型的选择。
3. 电路制作与调试(1)按照电路图,将各个芯片焊接在面包板上;(2)连接电源、按键、发光二极管等外围元件;(3)调试电路,观察彩灯的运行情况,确保电路功能正常。
4. 电路优化与改进在调试过程中,我们发现以下问题:(1)分频电路输出波形不稳定,导致彩灯运行不稳定;(2)编码器电路输出信号存在毛刺,影响彩灯花型的展示。
针对以上问题,我们进行了以下优化与改进:(1)将555定时器改为更稳定的时钟源,提高分频电路的输出稳定性;(2)对编码器电路进行滤波处理,消除输出信号的毛刺。
一、实训背景随着科技的不断进步,电子技术在生活中的应用越来越广泛。
彩灯作为节日装饰的重要元素,其控制系统的设计与实现对于丰富节日气氛、美化环境具有重要意义。
本实训旨在通过学习彩灯控制器的原理与设计方法,提高学生对数字电路的理解和应用能力。
二、实训目的1. 掌握彩灯控制器的原理和设计方法。
2. 熟悉数字电路中的触发器、计数器、译码器等基本单元电路。
3. 培养学生动手实践能力和创新意识。
三、实训内容1. 彩灯控制器原理学习(1)了解彩灯控制器的组成,包括输入部分、控制部分、执行部分等。
(2)掌握彩灯控制器的控制原理,包括定时、计数、译码等。
(3)学习常用数字集成电路的应用,如触发器、计数器、译码器等。
2. 彩灯控制器设计(1)根据设计要求,确定彩灯控制器的功能模块和结构。
(2)设计彩灯控制器的电路图,包括各个模块的连接关系和参数设置。
(3)利用数字电路仿真软件对电路进行仿真,验证设计的正确性。
3. 彩灯控制器制作与调试(1)根据电路图,制作彩灯控制器的实体电路。
(2)调试电路,确保各个模块正常工作。
(3)进行彩灯控制器的功能测试,验证其是否符合设计要求。
四、实训过程1. 理论学习(1)查阅相关资料,了解彩灯控制器的原理和设计方法。
(2)学习数字电路中的基本单元电路,如触发器、计数器、译码器等。
(3)了解数字电路仿真软件的使用方法。
2. 电路设计(1)根据设计要求,确定彩灯控制器的功能模块和结构。
(2)设计电路图,包括各个模块的连接关系和参数设置。
(3)利用数字电路仿真软件对电路进行仿真,验证设计的正确性。
3. 电路制作(1)根据电路图,制作彩灯控制器的实体电路。
(2)检查电路连接,确保无误。
4. 调试与测试(1)调试电路,确保各个模块正常工作。
(2)进行彩灯控制器的功能测试,验证其是否符合设计要求。
五、实训结果与分析1. 实训成果(1)成功设计并制作了一个彩灯控制器。
(2)彩灯控制器可以按照预定程序控制彩灯的亮灭,实现各种动态效果。
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持.《数字电子技术实验》课程实验报告可编程彩灯控制电路的设计可编程彩灯控制电路的设计一、 实验目的1. 掌握计数器、移位寄存器电路的原理及应用。
2. 掌握比较器或译码电路的应用方法。
3. 掌握 555 电路的应用方法。
二、 设计任务与要求1. 分析下图所示电路功能。
2. 完成振荡电路及分频电路的设计。
3. 连接整体电路,测试分析实验结果。
要求:1. 彩灯电路循环速度肉眼可辨。
2. 可 2 灯循环,3 灯循环,…,8 灯循环。
最少 6 灯,可扩展成可逆循环。
3. 要求有功能扩展。
三、 实验原理及设计思想可编程彩灯电路实验将传统的四个时序电路:移位寄存器、计数器、555 定时器和组合实验综合为一个 完整的设计型时序、组合电路综合实验。
如已知电路图所示,10 线—4 线优先编码器 74LS147 和 3 线—8 线译码器 74LS138 实现对控制信号的编 码、译码功能,两片单向移位寄存器 74195 级联实现 6 位信号的逻辑右移,集成定时器 7555 用来产生定时 脉冲,通过调节电阻和电容值可改变脉冲频率,分频器部分主要功能是改变输入移位寄存器的信号的频率, 实现彩灯亮灭频率的改变。
基本的设计原理和思想如上所述,但我们小组在分频器部分进行了创新设计,在老师已给的电路基础 上,又加了 6 个芯片(三片 74LS161、两片 74LS151、一片 74LS00),以实现对频率选择的功能。
而且设计了 7 个逻辑电平开关和一个复位开关,以实现自动变频和手动变频的切换。
四、 整体电路图整体电路共分为六大模块:555 振荡电路模块、编码译码模块(74LS147、74LS138)、移位寄存器模块 (两片 74LS195)、显示模块(25 盏 LED 灯)、计数器模块(74LS161)、分频器模块(两片 74LS161)和数据 选择器模块(两片 74LS151)。
移位寄存器型彩灯控制器年度第1 学期)名称:数电实验设计题目:移位寄存器型彩灯控制器院系:电气与电子工程学院班级:电气0710 学号:学生姓名:陈佩璐指导教师:刘春颖设计周数:1 成绩:日期:xx 年1月20 日《电子技术》综合实验任务书一、目的与要求1、目的1、1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1、2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1、3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1、4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2、要求2、1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
2、2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
2、3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
2、4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
2、5学会撰写综合实验总结报告。
2、6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
2、7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。
1、移位寄存器型彩灯控制器2、智力竞赛抢答器3、电子拔河游戏机4、交通信号灯控制器5、数字电子钟6、电子密码锁7、电子秒表8、数字电子钟(硬件)三、进度计划序号设计(实验)内容完成时间备注1设计内容讲解、学习资料查找方法半天2发放材料、清点材料、熟悉各种元器件半天3查找资料、设计电路、绘制总体电路草图1天4电路组装并调试或在Multisim上绘制电路图、仿真并调试2天5验收、撰写实验报告1天四、设计(实验)成果要求1、学生根据所选课题的任务、要求和条件进行总体方案的设计,通过论证与选择,确定总体方案;然后对方案中单元电路进行选择和设计计算;最后画出总体电路图。
课程设计(综合实验)报告( 20 11 -- 20 12 年度第1 学期)名称:电子技术综合试验题目:移位寄存器型彩灯控制器院系:电气与电子工程学院班级:信息1002学号:1101200209学生姓名:李积强指导教师:李月乔设计周数: 1成绩:日期:2011 年12月27 日一、课程设计(综合实验)的目的与要求1.目的:1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2.要求:2.1采用移位寄存器设计一个彩灯控制器。
2.2要求三种变换花型以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型。
花型1:8路彩灯从左至右顺次渐亮,全亮后逆序渐灭;循环两次。
花型2:8路彩灯从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭;循环两次。
花型3:8路彩灯分两半,从左至右顺次渐亮,全亮后则灭,循环三次。
花型真值表如下:花型真值表如下:节拍编码QA QB QC QD QE QF QG QH顺序花型1 花型2 花型30 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 01 1 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 1 0 0 02 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 03 1 1 1 0 0 0 0 0 0 1 1 1 1 1 1 0 1 1 1 0 1 1 1 04 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 15 1 1 1 1 1 0 0 0 1 1 1 0 0 1 1 16 1 1 1 1 1 1 0 0 1 1 0 0 0 0 1 17 1 1 1 1 1 1 1 0 1 0 0 0 0 0 0 18 1 1 1 1 1 1 1 19 1 1 1 1 1 1 1 010 1 1 1 1 1 1 0 011 1 1 1 1 1 0 0 012 1 1 1 1 0 0 0 013 1 1 1 0 0 0 0 014 1 1 0 0 0 0 0 015 1 0 0 0 0 0 0 0二、设计(实验)正文1、明确设计要求。
前言电子技术课程设计是配合电子技术基础课程与实验教学的一个重要环节。
是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,可以帮助我们巩固和加强数电课程的理论知识,掌握电子电路的一般设计方法及电子电路安装与调试方法和故障排除方法,同时也可以培养我们的创新思维。
本次课程设计的题目十分贴近日常生活。
现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可以用于娱乐场所和电子玩具中,绝大多数的彩灯控制电路都是用数字电路来实现的。
本次设计的彩灯控制电路就是用计数器、存储器、译码器等来实现。
将存储器中的图案用发光二极管点阵显示,同时具备频率调节、手动复位及选画功能。
整个电路可分为脉冲发生电路、图形控制电路、数码管显示电路和存储电路。
通过课本中的知识及翻阅资料可知,脉冲发生电路需要产生低频和高频脉冲。
图形控制电路中需要用74LS160设计一个计数器,用74LS138构成译码器。
数码管显示电路需要用两块数码管显示计数,存储电路中需要写入图案的二进制编码。
初步设计好各单元电路之后,首先要单独调试,再将各模块连接起来,完成电路的整体功能。
第一章系统概述1、实践要求通过对硬件编程,将图形、文字、动画存储在ROM中,通过计数器控制图形、文字、动画的地址,再利用显示矩阵显示出来。
系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。
(1)以8×8的发光二极管点阵作为控制器的显示器件(含单色或三色);(2)要求显示的图案不少于50幅;(3)每幅图案的显示时间基本相等,这个时间在20ms、1s、2s三档步进预置;(4)具有手动复位及加电自动复位功能。
2、原理分析与程序设计框图通过对实验要求的解读可知,本次实践需要通过对ROM的编程来控制一个8*8的LED点阵输出存在ROM中的各种图形或者文字。
因此需要用ROM的八根数据线来控制点阵的行,用74LS138的输出控制点阵的列。
由于点亮点阵是一行行或一列列亮的,所以需要74LS138译码器搭配着74LS161使用,接1000HZ以上的高频,再接点阵,不断地刷新点阵,这样人眼才能看到一幅画。
实验三彩灯控制器设计实验目的:掌握上升沿、下降沿触发语句;循环语句;移位寄存器以及计数器、分频器的设计。
实验内容:设计实现8位移位寄存器模块,实现分频器模块,利用两个模块实现彩灯控制器。
原理图及代码:如下图3—1所示图3—18位移位寄存器模块(sr)代码:library ieee;use ieee.std_logic_1164.all;entity sr isport( s,dr,clr,clk:in bit;q :buffer bit_vector(7 downto 0));end sr;architecture archsr of sr isbeginprocess(s,dr,clr,clk)beginif clr='0'thenif s='0'then q<="00011000";else q<="10000001";end if;elseif clk'event and clk='1'thenfor i in 0 to 3 loopif dr='0'then q(i)<=q((i+3)mod 4);else q(i)<=q((i+1)mod 4);end if;end loop;for i in 4 to 7 loopif dr='0'then q(i)<=q((i+1)mod 4+4);else q(i)<=q((i+3)mod 4+4);end if;end loop;end if;end if;end process;end archsr;分频器模块(fp)代码:library ieee;use ieee.std_logic_1164.all;entity fp isport( clr,clk:in bit;fout:buffer bit);end fp;architecture archfp of fp isbeginprocess(clr,clk)variable count:integer;beginif clr='0'then count:=0;fout<='0';elseif clk'event and clk='1'thenif(count<9)then count:=count+1;else count:=0;fout<=NOT fout;end if;end if;end if;end process;end archfp;仿真结果及结果分析:因为此次实验为利用8位移位寄存器模块和分频器模块实现彩灯控制器。
课程设计(综合实验)报告( 2013 -- 2014 年度第 1 学期)
名称:电子技术综合实验
题目:移位寄存器彩灯控制器
院系:电气与电子工程学院
班级:电气1111班
学号:1111140106
学生姓名:关睿
指导教师:樊冰
设计周数: 1
成绩:
日期:年月日
《电子技术》综合实验
任务书
一、目的与要求
1.目的
1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2.要求
2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
2.5学会撰写综合实验总结报告。
2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容
共有7个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。
1.移位寄存器型彩灯控制器
2.智力竞赛抢答器
3.电子拔河游戏机
4.交通信号灯控制器
5.数字电子钟
6.电子密码锁
7.数字电子钟(硬件)
三、进度计划
四、设计(实验)成果要求
1.学生根据所选课题的任务、要求和条件进行总体方案的设计,通过论证与选择,确定总体方案;然后对方案中单元电路进行选择和设计计算;最后画出总体电路图。
2.预设计经指导教师审查通过后,学生即可向实验室领取所需元器件等材料,在面包板上组装、调试电路,使之达到设计指标要求。
3.在Multisim软件平台上学生可直接设计、仿真和实现,直至达到设计要求。
五、考核方式
综合以下指标评定综合实验总成绩:优、良、中、及格和不及格。
1.设计方案的正确性与合理性;
2.实验动手能力(安装工艺水平、调试中分析解决问题的能力以及创新精神等);
3.总结报告;
4.答辩情况(课题的论述和回答问题的情况);
5.设计过程中的学习态度、工作作风和科学精神。
学生姓名:
指导教师:樊冰
年月日
一、课程设计(综合实验)的目的与要求
1.目的:
1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。
2.要求:
2.1采用移位寄存器设计一个彩灯控制器。
2.2要求三种变换花型
以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型。
花型1:8路彩灯从左至右顺次渐亮,全亮后从中间向两侧顺次渐灭;循环两次。
花型2:8路彩灯从中间到两边对称地逐次渐亮,全亮后反向(两边向中间)渐灭。
循环两次。
花型3:8路从左向右依次按照:1、3;2、4;3、5;4、6;5、7;6、8的顺序点亮彩灯,同时只有两个灯处于亮的状态,循环两次。
花型如图:
二、设计(实验)正文
1、明确设计要求。
设彩灯控制器输出为1时可以点亮彩灯,为0时不能点亮,根据原题要求列出彩灯一次总循环的状态列表。
考虑到74X194能左移、右移、清零或并行置数,可满足题目的要求,两片74X194共有8个输出信号,分别控制8路彩灯。
一次花型循环为53拍,还有一次(异步)清零。
此外,设计还要求慢节拍和快节拍各循环一次,所以总共需要106拍。
对彩灯的控制信号来说,需要的状态数54个。
当一次循环完成后,需要一个信号改变所选的时钟的频率。
2、由以上的分析,可将电路分为三部分:
3、下面分别设计这三部分电路:
(1)节拍产生电路:这部分电路其实要完成两个功能。
一是54个状态的产生,二是时钟频率的选择。
用3个74ls161实现。
其中,两个74ls161组成计数器,记54个状态。
另一个74ls161参与频率选择。
电路图如下:
(2)控制信号产生电路。
用产生的状态经过一定的逻辑运算后控制74X194的S0、S1、SR、SL即可得到所需要的花型。
真值表如下:
列出状态表,经卡诺图化简后,可得到控制信号和状态量的关:
S1(1)=Q5Q4Q3Q2+Q5Q3Q2+Q5Q4Q3Q2+Q3Q2Q1Q0+Q5Q4Q3Q2Q0
SL(1)=Q5+Q4Q2
S1(2)=Q4Q3Q2+Q5Q4Q3Q2+Q5Q2Q1Q0+Q5Q3Q2Q0
SL(2)=0
SR(2)=Q5Q4Q2+Q4Q3+Q5Q4Q1Q0+Q4Q2Q0+Q3Q2Q0
此过程需要门电路组合实现:
(3)花型产生电路:用两片74X194的八路输出分别控制八个彩灯即可。
电路图如下:
(4)将这3部分结合起来,就形成了完整的电路图:
三、课程设计(综合实验)总结或结论
1.本实验应用元件比较多,结构较为复杂,每一步都要仔细认真,分块接线检验,否则整个检查十分困难,把握好每个模块是实验的关键。
尤其是连控制电路时,由于连线过多,容易出错。
2. 设计控制信号产生电路时,考虑到要实现的是六变量的组合逻辑函数,比较复杂,平时所学的芯片似乎都无法完成此功能,于是就采用了多个门电路来实现,并且,门电路选择上也要考虑,由于信号到达门的时间不同,可能会导致花型紊乱。
应尽量选择2脚门电路。
3.实验中要注意细节,注意芯片的清零功能和置位功能,注意是同步还是异步的。
5.通过几天的努力,认识到实际电路很复杂,还需要加强这方面的知识。
6.对数字电路的设计分析有了较为明确的了解。
7.处理六变量化简问题,用到了逻辑分析仪,得到的电路美观且准确,值得借鉴。
8.通过这次实验设计,巩固了数字电子技术的知识,对学习这门课程有了很大的帮助,对各种芯片的功能和用法有了更深刻的理解,培养了我思考问题和解决问题的能力。
复杂的电路连线,也是对耐心、细心和恒心的考验。
从中我体会到了很多乐趣,也学到了很多知识,实在是获益匪浅。