数显声响倒计时设计报告终稿
- 格式:doc
- 大小:33.50 KB
- 文档页数:2
合肥学院计算机科学与技术系课程设计报告2008 ~2009 学年第一学期课程微机原理课程设计名称倒计时数字钟学生姓名田元学号0604032038专业班级06网工二班指导教师肖连军2009-2-15倒计时数字钟一. 题义分析及解决方案:设计一个接口与七段LED显示器,显示一个倒计时时钟,显示初值为60分00秒,每隔一秒改变一次显示值,60秒为一分钟,LED显示器显示分、秒的动态值。
1 题义需求分析:根据题意设计一个接口和七段LED显示器,本设计能显示一个具有固定初值的倒计时钟,能每隔固定时间间隔(1s),动态刷新显示值,并且能用LED显示器显示分秒的动态值,需要解决如下问题:1).选择怎样的接口问题?2).显示设备的选择问题?3).初值的设置和输入问题?4).计数值与显示码的转换及动态值显示问题?5).相关芯片与译码器的选择问题?2解决问题方法及思路:1)硬件部分:根据七段LED显示器的硬件结构,为减少器件的使用量,可以选择芯片8255A,将七段LED显示器与8255A的两个八位并行输出口连接,比如使用8255的A口和B口。
其中,A口用于位选,确定选择哪一个显示灯;B口用于段选择,通过改变B口中的段码,使得显示灯能够显示出相应的时间数字。
8255与七段LED显示器采用的共阴极的接法。
2)软件部分:根据题义要求,可以设立一个段码表和一个显示缓冲区,以动态刷新的方式,显示四位数字表示的时间。
前者存放的是共阴极方式下数字0---9的段码;后者存放的是显示初值。
设置好8255的工作方式后,运行显示程序,将缓冲区中的数字通过LED灯显示出来。
为消除串码和闪烁,应让每位数字显示2~5毫秒后,关闭显示,之后再显示下一个数字。
四位数字显示完成后,将缓冲区中的时间减少一秒,重复显示,直到时间减少到00分00秒。
二.硬件选择分析1、8255芯片:并行输入/输出接口1) 8255的功能分析:(1)芯片8255在本设计中主要用于选择位码和输出段码,同时也减少了器件数量。
课程设计报告课程:电子技术综合课程设计题目:数显、声响式倒计时电路设计院系:电气工程系班级:学号:姓名:指导老师:时间:2010.07.10目录课程:电子技术综合课程设计前言\任务书1.方案论证1.1整体设计思路1.2整体设计框图1.3整体电路初稿2. 单元电路设计和基本原理2.1电源设计2.2 1s信号源2.3.减法计数器2.4. 显示电路2.5.开机复位电路2.6.报警电路3.实验装调3.1.步骤方法3.2.故障及处理3.2.1电源的制作及调试。
3.2.2.1秒信号源的连接于调试。
3.2.3、译码显示的连接和调试3.2.4、计数器的连接和调试。
3.2.5、报警电路的连接和调试。
4.总结与体会5附录:前言电子技术综合课程设计是针对模拟电子技术,数字逻辑电路及电路分析课程的要求,对我们进行综合性实践训练的实践学习环节,它包括电子电路设计、组装、调试和编写总结报告等实践内容。
通过课程设计实现以下三个目标:第一,让学生初步掌握电子线路的试验、设计方法。
即学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。
毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法;第三,培养学生勤于思考的习惯,同时通过设计并制作电子产类品,增强学生这方面的自信心及兴趣。
本课程设计介绍的是数字逻辑电路中以TTL集成电路为基础的数显,声响式定时器,以电路的基本理论为基础,着重介绍电路的设计装调及性能参数的调试方法. 本课程设计应达到如下基本要求:(1)综合运用电子技术课程中所学的理论知识独立完成一个数显,声响式定时器的设计。
(2)通过查阅手册和参考文献资料,培养独立分析和解决实际问题的能力。
数显声响倒计时课程设计介绍数显声响倒计时是一种常用于体育赛事、科技展示等场合的倒计时设备。
它通过数字显示器以及声音提示的方式,向人们展示倒计时的过程和结果。
本文将针对数显声响倒计时的课程设计进行探讨,包括设计思路、实施步骤、教学方法等内容。
设计思路在开始设计数显声响倒计时的课程之前,我们首先需要明确设计的目标和要求。
下面是一些可能的设计思路:1.设计倒计时器的基本功能:包括设置倒计时时间、开始倒计时、停止倒计时等。
2.添加数显功能:通过数字显示器,实时显示倒计时的剩余时间。
3.添加声响功能:在倒计时到达设定的时间点时,发出声音作为提示。
4.设置多种倒计时模式:可以根据不同的场景需求,提供多种倒计时模式选择,如倒计时到0、倒计时到指定时间等。
实施步骤设计和实施数显声响倒计时课程的步骤可以大致分为以下几个方面:1. 确定教学目标在课程设计之初,需要确定教学的目标。
小组成员可以共同讨论,确定教学目标的内容和层次。
例如:完成基本倒计时器功能的实现、理解数字显示器和声响的工作原理等。
2. 学习相关知识和技能在教学过程中,需要学习相关的知识和技能。
这些知识和技能包括数字电路基础、显示器原理、声响装置的工作原理等。
可以通过课堂讲解、实验操作等方式进行学习。
3. 设计倒计时器电路根据课程设计的要求,设计倒计时器的电路。
这需要学习数字电路的基础知识,并根据要求进行电路的设计和布线。
可以借助模拟电路实验箱进行实践操作。
4. 编写程序代码将倒计时器电路与数字显示器、声响装置进行连接,编写相应的程序代码。
程序代码需要实现倒计时器的基本功能,以及数显和声响的功能。
可以使用类似Arduino的开发板进行代码的编写和调试。
5. 实施实验根据设计好的倒计时器电路和程序代码,进行实验的实施。
学生可以通过实验操作,观察电路和程序的工作情况,并验证倒计时和声响的功能是否正常。
6. 总结和展示结束实验后,学生需要总结实验的结果和经验,并进行展示。
电子技术课程设计报告设计题目:数字显示30秒倒计时器电路设计1、课程设计目的、意义设计目的:(1)依照原理图分析各单元电路的功能;(2)熟悉电路中所用到的1各集成块的1管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。
设计意义:数字显示30秒倒计时器是一个简单的数字电路,但是它能够扩展到很多实际应用当中来,比如篮球倒计时器、交通灯倒计时器等等。
2、设计题方案比较、论证设计方案:分析设计任务,计数器和操纵电路是系统的要紧部份。
计数器完成30秒计时功能,而操纵电路具有直接操纵计数器的启动设计、译码显示电路的显示和灭灯功能。
当启动开关闭合时,操纵电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示“30”字样;当启动开关断开时,计数器开始计数;处于维持状态。
系统设计框图如图2-1所示。
数字显示30秒倒计时器实验电路如图2-2所示。
图2-1图2-23、各单元电路设计,元器件参数计算、选择、电路图绘制,整体电路图递减计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简单,74LS192是十进制可编程同步加锁计数器,它采纳8421码二-十进制编码,而且有直接清零、置数、加锁计数功能。
图2-3是74LS192外引脚。
图中CPU 、CPD别离加计数、减计数的时钟脉冲输入端(上升沿有效)。
LD是异步并行置数操纵端(低电平有效),CO、BO别离是进位、借位输出端(低电平有效),CR是异步清零端,D3-D是并行数据输入端,Q3-Q是输出端。
74LS192的功能表见下表所示。
秒信号产生器秒信号产生器的电路是利用 555 按时器(图3-1)组成的秒信号发生器。
NE555 芯片有单稳态电路功能,可发生方波信号,可适当的选择电阻、电容,使其输出信号的周期为 1 秒。
本电路输出脉冲的周期为:T=*(R1+2*R2)*C,假设 T=1s,令 C=10μF,R1=39kΩ,那么R2=51k Ω。
30秒计时器设计报告资料设计报告资料:30秒计时器【一、项目概述】本项目旨在设计一个30秒计时器,能够在用户设定的时间内精准计时,同时显示剩余的时间,并且在倒计时结束时发出提醒音。
【二、项目需求】1.设计一个可调节的计时器,用户可以通过设置按钮来调整计时时间。
2.使用LCD显示剩余时间,以便用户能够清楚地看到剩余多少时间。
3.在倒计时结束时能够发出声音提醒用户。
【三、硬件设计】1. 主控芯片:使用Arduino Uno作为主控芯片。
2.倒计时显示屏:使用16x2字符LCD显示屏,能够显示剩余时间。
3.按钮:使用两个按钮,一个用来增加时间,一个用来减少时间。
4.喇叭:使用一个喇叭用于发出提醒音。
【四、软件设计】1.初始化:设定默认的计时时间为30秒,并将剩余时间显示在LCD 上。
2. 按钮检测:使用Arduino的digitalRead(函数来检测按键是否按下。
3.按钮处理:根据按钮的不同,增加或减少计时时间,并在LCD上更新剩余时间。
4. 计时:使用Arduino的millis(函数来获取当前时间,并与设定的倒计时时间相减,得到剩余时间,并在LCD上更新。
5.提醒音:当剩余时间为0时,发出提醒音。
【五、关键技术】1. 使用Arduino Uno作为主控芯片,能够方便地编程和控制其他硬件模块。
2.使用16x2字符LCD显示屏,能够显示剩余时间,并且在计时过程中能够实时更新。
3.使用按钮进行计时时间的调节,能够方便地对计时时间进行设置。
4.使用喇叭发出提醒音,提醒用户计时结束。
【六、预期成果】通过完成本项目,可以得到一个功能完善的30秒计时器,用户可以通过按钮来调节计时时间,并能够清晰地看到剩余时间的显示。
同时,在倒计时结束时会发出提醒音,提醒用户时间到了。
【七、项目进度安排】1. 第一周:收集所需硬件和软件资料,搭建开发环境并熟悉Arduino编程技术。
2.第二周:完成硬件设计,连接主控芯片、LCD显示屏、按钮和喇叭,并进行初步调试。
一、 方案的论证和选择1.1 整体电路构思图1.1 整体电路构思图1.2 方案一如图1.1信号由555定时器产生频率为1HZ ,占空比1/2的信号,由190构成 15进制计数器,由JK 触发器控制190和发光二极管的工作状态,三态门控制停止电路和声控电路。
图1.2 74ls190构成的数显声响倒计时电路555信号发生器由T 触发器构成的开关 74ls190计数器报警电路 译码器LED 显示器1.3方案二如图1.2 信号由555定时器产生频率为1HZ,占空比为1/2的信号,由192构成15进制的计数器,由JK触发器控制192和发光二极管的工作状态,由三态门控制停止电路和声控电路。
图1.3 74ls192构成的数显声响倒计时电路1.4方案的选择我们组设计的是数显声响倒计时电路,有两种方案均能达到要求,但经查阅相关资料,结合我们组四个人的意愿,并联系我们学习过的数字电子技术基础的知识,我们觉得用74ls190做更具挑战性,因为用74ls190做成的电路连线较为复杂,这样不仅可以增强我们的动手能力,同时还有助于提高我们检查复杂连线电路的能力,更具有实践意义,对比之下我们选择了方案一。
二.单元电路设计2.1 电源电路设计降压电路:直流电源的输入为220V 的电网电压,一般情况下,所需直流电压的数值和有效值相差较大,因而需要电源变压器进行降压,在对交流电压进行处理降压电路采用变压器直接变压,输出9V交流电。
整流电路:整流电路一般分为半波整流和全波整流。
半波整流和全波整流相比,在相同的变压器的副边电压下,对二极管的参数要求是一样的,并且还具有输出电压高、变压器利用率高等优点,其中桥式整流最为常用,单相桥式整流电路将变压器副边电压从交流变为直流电压。
鉴于以上优点,本设计采用了桥式整流。
滤波电路:电容滤波电路时最常见也是最简单的滤波电路,在整流滤波电路的输出端(即负载电阻两端),并联一个电容即得到电容滤波电路。
滤波电容容量较大,利用其充放电作用,使输出电压趋于平滑。
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
数字显示倒计时课程设计一、教学目标本课程的教学目标是使学生掌握数字显示倒计时的基本原理和编程方法,培养学生的动手能力和创新思维。
具体目标如下:1.知识目标:使学生了解数字显示倒计时的基本原理,包括数字电路、微控制器编程等相关知识。
2.技能目标:培养学生能够使用微控制器进行数字显示倒计时的编程和调试,提高学生的实践操作能力。
3.情感态度价值观目标:培养学生对科技创新的兴趣和热情,增强学生解决实际问题的信心和勇气。
二、教学内容本课程的教学内容主要包括数字显示倒计时原理、微控制器编程和实验操作。
具体安排如下:1.数字显示倒计时原理:介绍数字电路的基本知识,微控制器的工作原理,以及数字显示倒计时的基本原理。
2.微控制器编程:教授微控制器的编程语言,编程方法和技巧,使学生能够独立编写数字显示倒计时的程序。
3.实验操作:安排实验室实践环节,使学生在实际操作中掌握数字显示倒计时的原理和编程方法。
三、教学方法本课程采用讲授法、讨论法、实验法等多种教学方法,以激发学生的学习兴趣和主动性。
1.讲授法:通过讲解数字显示倒计时的原理和编程方法,使学生掌握相关知识。
2.讨论法:学生进行小组讨论,分享学习心得和经验,提高学生的思考和分析能力。
3.实验法:安排实验室实践环节,使学生在实际操作中掌握数字显示倒计时的原理和编程方法。
四、教学资源本课程的教学资源包括教材、实验室设备、多媒体资料等。
1.教材:选用权威、实用的教材,为学生提供可靠的学习资料。
2.实验室设备:提供足够的实验室设备,保证每个学生都能进行实验操作。
3.多媒体资料:制作精美的多媒体课件,辅助教学,提高学生的学习兴趣。
五、教学评估本课程的评估方式包括平时表现、作业、考试等,以全面、客观、公正地评价学生的学习成果。
1.平时表现:评估学生在课堂上的参与度、提问回答等情况,以考察学生的学习态度和积极性。
2.作业:布置适量的作业,评估学生的理解和掌握程度,及时发现和纠正学生的错误。
<<数字电子技术>>课程设计报告题目:数字倒计时设计专业:通信工程年级:2011级学学生姓名联系电指导老师:完成日期:2013年5月20日本课程设计利用了七段共阴显示数码管、74LS192芯片、74LS48芯片、蜂鸣器、电容、开关、电阻、74LS00芯片、74LS10芯片、NE555芯片、发光二极管、三极管、16脚底座、14脚底座、8脚底座等元件,完成了数字倒计时设计,这个设计能够实现具有显示计时功能,设置外部操作开关,启动和暂停/连续功能,计时器为递减计时,计时间隔为1S,计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。
本设计具有成本低,使用的元件少,制作简单,计时准确、精确、稳定,使用简单方便的特点。
关键词:七段共阴显示数码管;74LS192芯片;NE555芯片。
ABSTRACTThis course is designed to use the seven paragraphs Yin shows that digital tube,74ls192,74ls48 chip,buzzer,capacitors,switches,resistors,74ls00,74ls10 chip,NE555 chip,light emitting diode,triode,14,16 foot base,8 feet base element etc,completed a digital countdown design,this design can be achieved with display timer function,set the external switch operation,start and pause/continuous functions,timer for decreasing timing,timing interval of 1s,diminishing the timer timing to zero,the digital display can't destroy the lamp,photoelectric alarm signal at the same time.This design is low cost and using less component,make simple,time accurate,precise,stable,simple to use convenient characteristic.Key words:7,a total of Yin shows that digital tube;74ls192 chips;NE555 chips.摘要........................................... 错误!未定义书签。
多功能计时器设计报告一、引言多功能计时器是一种常见的电子设备,能够用于各种场景中的计时功能,如厨房中的烹饪计时、运动场上的比赛计时等。
它不仅能提供精确的计时功能,还能根据用户需求提供多种定时和提醒功能。
本设计报告将详细介绍多功能计时器的功能、硬件设计以及软件设计。
二、多功能计时器的功能需求1.计时功能:提供从1秒到24小时的计时功能,能够显示倒计时时间或者当前计时时间,并能精确到毫秒级。
2.定时功能:能够设置定时开关功能,例如定时闹铃功能,在预定时间到达时触发报警器。
3.计次功能:能够记录计时的次数并显示。
4.报警功能:能够提供声音或者光提示以提醒用户计时完成或者时间到达。
5.显示功能:能够清晰显示计时器的各项参数,包括当前时间、计时时间、计次次数等。
三、多功能计时器的硬件设计1.主控芯片:使用低功耗、高性能的单片机作为主控芯片,例如STM32系列。
2.显示屏:使用液晶显示屏作为主要显示界面,能够清晰显示计时器的各项参数。
3.蜂鸣器:用于提供报警功能,能够发出清晰的声音以提醒用户。
4.按钮:用于用户操作,包括启动计时、暂停计时、重置计时等。
5.电源:使用电池供电,以方便携带和使用。
四、多功能计时器的软件设计1.系统初始化:在开机时进行系统初始化,包括设置计时参数、显示界面初始化等。
2.计时功能设计:包括开始计时、计时暂停、计时重置等功能。
3.定时功能设计:允许用户设置定时时间和计时时间到达时的操作。
4.计次功能设计:记录计时次数并在显示屏上显示。
5.报警功能设计:设定报警时间到达时发出声音或者光提示。
6.显示功能设计:在液晶显示屏上实时显示计时参数,包括计时时间、定时时间、计次次数等。
五、多功能计时器的测试与改进1.功能测试:对多功能计时器进行各项功能的测试,如计时、定时、报警、显示等功能的正确性测试。
2.性能测试:对多功能计时器的性能进行测试,如计时准确性、显示清晰性等。
3.用户测试:邀请用户测试多功能计时器的易用性和实用性,并根据用户反馈进行改进。
led数字倒计时器设计自我总结LED数字倒计时器是一种常见的倒计时装置,它通过使用LED(发光二极管)来显示倒计时的时间。
设计一个LED数字倒计时器不仅需要掌握电子电路的知识,还需要了解数字显示技术和计时原理。
在设计和制作过程中,我遇到了一些挑战,但通过不断努力和学习,我成功地完成了LED数字倒计时器的设计。
我需要确定倒计时的时间范围和精度。
LED数字倒计时器可以根据需求设计不同的时间范围,例如从1分钟到24小时等。
在我的设计中,我选择了一个常见的时间范围,从1分钟到60分钟。
为了提高倒计时的精度,我采用了时钟模块来提供准确的时间基准。
接下来,我需要选择适当的LED显示器来显示倒计时的时间。
LED 显示器可以分为共阳极和共阴极两种类型。
在我的设计中,我选择了共阳极的LED显示器。
为了实现倒计时功能,我需要控制LED显示器的每个数码管的每个数字的亮灭。
通过使用逻辑门和计数器等电子元件,我成功地实现了LED数字倒计时器的数字显示功能。
为了使倒计时器更加实用和易于操作,我还添加了一些附加功能。
例如,我增加了开始、暂停和复位按钮,以控制倒计时的启动、暂停和重置。
我还添加了一个蜂鸣器,用于在倒计时结束时发出警报声。
通过这些附加功能,LED数字倒计时器不仅可以简单地显示倒计时的时间,还可以提供更多的操作和警示功能。
在完成LED数字倒计时器的设计后,我进行了一系列的测试和调试。
我验证了倒计时功能的准确性和稳定性,并确保LED显示器的亮灭正常。
同时,我还测试了按钮和蜂鸣器的功能,以确保它们能够正常工作。
通过这些测试和调试,我确保了LED数字倒计时器的正常运行和可靠性。
在这个设计过程中,我学到了很多关于电子电路和数字显示技术的知识。
我深入了解了LED显示器的工作原理和控制方法,并学会了使用逻辑门和计数器等电子元件来实现数字显示和倒计时功能。
通过解决各种问题和挑战,我提高了自己的解决问题的能力和创新能力。
通过设计LED数字倒计时器,我不仅加深了对电子电路和数字显示技术的理解,还提高了自己的实践能力和创新能力。
数显、声响倒计时电路课程设计报告电子技术综合课程设计课程:电子技术综合课程设计题目:数显、声响倒计时电路所属院(系) 物电学院专业班级电子104姓名学号:1013014122 指导老师王婷完成地点陕西理工学院501实验室2012年 09 月28日任务书数显、声响倒计时电路任务及要求设计并制作一个数显、声响式倒计时电路。
要求如下:1、电路具有10~99秒可预置定时功能。
2、有两个数码管显示计时时间,用一只LED指示计时开始与结束。
按预置/开始按钮,数码管显示定时时间,LED不亮;再按预置/开始按钮,LED亮,倒计时开始。
3、倒计时结束时,计数器停止计数,LED不亮。
4、电路具有开机预置数功能。
5、电路具有最后三秒报时功能,要求响半秒、停半秒,共三次。
用压控陶瓷蜂鸣器作为电声元件。
6、自制本电路所用的直流电源和一秒信号源。
参考资料:《数字电子技术实验任务书》实验四及实验六《电子技术基础》课程设计资料《数字电子技术基础》(第五版)阎石主编系统框图目录目录 (4)前言 (5)第一章、单元电路设计 (6)1.1电源电路设计 (7)1.1.1降压电路 (7)1.1.2整流电路 (7)1.1.3滤波电路 (7)1.1.4稳压电路 (7)1.2 信号源电路设计 (7)1.3控制电路设计 (9)1.4报警电路设计 (12)附录 (19)前言电子技术课程设计是针对模拟电子技术基础、数字电子技术基础、电路分析原理课程的要求,旨在对我们综合能力的实践性学校环节,它包括课程选择、课程设计、电子仿真、组装、调试等过程,希望通过课程设计能达到以下目的:第一,让学生初步了解并掌握电子电路的实验、设计方法。
即学生根据设计要求查阅相关文献资料,总结、分析类似电路的性能,选择出最优方案,并通过组装调试等实践使电路达到要求的性能指标。
第二,为以后的毕业设计打好基础。
毕业设计是系统的工程设计实践,而课程设计是让学生开始从理论学习的轨道上逐渐引向实践应用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。
一、内容提要在人们日常生活中,或大众关心的某项活动中,或电器使用过程中,常常需要一个倒计时计时器,用以醒目的显示要做的事件所剩下的时间,提醒人们做好准备。
报告主要讲述了方便预置倒计时器工作原理以及其各个组成部分,记述了我们在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。
二、设计要求及主要指标1.设置开始键。
按动开始键倒计时开始,定时结束后声响提示。
2.设置预置键。
定时时间可以在范围60妙任意预置,预置后的定时可重复使用3.数字式显示剩余时间。
4.定时时间到自动进入预置状态,倒计时时预置键无效。
三、方案选择及电路工作原理1)设计方案电路的结构框图定时结束信号两位十进制计数器2)基本原理倒计时数显式定时器电路由多谐振荡器—输出脉冲信号源、预置计数器(加法)、倒计时计数(减法)、D触发器、数码显示管和声响提示电路构成。
四、单元电路设计计算1)多谐振荡器—输出脉冲信号源时基电路要求送出频率为1s 的方波脉冲。
选用555定时器完成上述功能图1. 555的管脚图和功能表12 3 456 7 8 OUT555DIS GND Vcc COTH TRR D(a) 管脚图(b )功能表TH 1 R DT 01 OUT 01导通 >V CO 或 V CC32 TR> V CO 或 V CC31 21 不变<V CO 或 V CC32 > V CO 或 V CC31 21 不变⨯ ⨯⨯< V CO 或 V CC31 21 01导通 截止由定时器555内部结构得知,2个比较器出发输入端6和2是接在一个端点上并跟电容C 连接,这个端点上的电容电压c u 变动,会同时导致两个比较器的输出电平改变,使RS 触发器的输出改变。
电源CC U 经1R 和2R 给电容C 充电。
当c u 上升到32CC U 时,6U =2U =32CC U , 输出电压o u 为低电平,放电管T 导通,电容C 经2R 、放电端⑦放电,c u 开始下降,当下降到31CC U 时,6U =2U =31CC U ,输出电压o u 为高电平。
电子技术综合课程设计课程:电子技术综合课程设计题目:数显声响倒时器所属院(系) 专业班级姓名学号:指导老师完成地点2012年 10 月 5日前言 (3)1.1整体设计思路 (4)1.2整体设计方案论证 (4)方案一 (4)方案二: (4)2、各部分电路及其原理 (5)2.1电源设计 (5)2.2信号源 (5)2.3 减法计数器 (7)2.4 显示电路 (8)2.5 开机复位电路 (8)2.6 报警电路 (9)3、系统安装与调试 (9)4、总结与体会 (9)附录1 总体电路图 (11)附录2 元器件清单 (11)附录3 参考文献 (11)电子技术综合课程设计是对模拟电子技术基础和数字电子技术基础以及电路分析基础的综合应用,是考察我们对这三门基础课程的综合了解情况,同时也是对我们动手实践环节的一个检验,要求我们对电路的设计、基本电路的搭建、调试以及对元器件的性能有足够的认识,这样才能够完成电子制作的基本要求。
电子综合课程设计是对同学们的以下几个方面的培养和锻炼:第一,培养学生团结协作的精神,众人拾柴火焰高,只有大家团结起来,集思广益,再大的困难都会迎刃而解;第二,让学生初步掌握电子线路的试验、设计方法。
学生根据设计要求和性能参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第三,培养勤于思考的习惯,作为电子专业的学生,我们必须要善于思考,勤于总结,同时通过设计并制作电子产类品,增强学生这方面的自信心及兴趣,激发同学们在这方面的潜能。
本课程设计介绍的是数字逻辑电路中以TTL集成电路为基础的数显,声响倒计时器,以电路的基本理论为基础,着重介绍电路的设计装调及性能参数的调试方法. 本课程设计应达到如下基本要求:(1)综合运用电子技术课程中所学的理论知识独立完成一个数显、声响倒计时器的设计。
(2)通过查阅手册和参考文献资料,培养寻找、分析和解决实际问题的能力。
(3)熟悉常用电子元器件的类型和特性,并掌握合理选用的原则。
60秒倒计时设计报告当然可以帮你完成写作。
以下是关于60秒倒计时设计报告的文章:一、介绍1. 背景:倒计时通常用于限时活动、比赛等场合,能够引起人们的注意并激起他们的竞争心。
在这个报告中,我们将讨论设计一个60秒倒计时的方案。
2. 目的:设计一个简洁、易于理解的60秒倒计时方案,使用户可以轻松看到剩余时间,并在最后几秒钟做出相应的行动。
3. 重要性:一个好的倒计时设计能够增加用户对活动或比赛的参与度,帮助他们掌握时间并提醒他们在最后时刻采取行动。
二、设计1. 显示方式:我们决定使用数字显示的方式来展示倒计时,因为数字是非常直观和易于理解的方式。
2. 颜色选择:我们选择使用醒目的红色作为倒计时的主色调,因为红色能够引起人们的注意。
3. 声音效果:为了加强用户对倒计时的感知,我们添加了一个持续响亮的滴答声作为背景音效。
三、功能1. 开始/暂停按钮:用户可以通过点击开始/暂停按钮来控制倒计时的开始和暂停。
2. 剩余时间显示:在倒计时的过程中,用户可以清楚地看到剩余时间的显示,以便他们能够更好地安排自己的行动。
3. 最后几秒特效:当倒计时剩余时间少于10秒时,我们设计了一个闪烁效果来提醒用户即将进入最后阶段,以便他们作出反应。
四、总结1. 设计原则:我们的设计遵循简洁、直观、易于理解的原则,以确保用户能够轻松使用倒计时功能。
2. 用户体验:通过使用醒目的颜色、持续的声音效果和特殊的最后几秒特效,我们的设计能够增加用户的参与度和紧迫感。
3. 功能完善:除了基本的开始/暂停和剩余时间显示功能外,我们还考虑了用户的需求并设计了最后几秒特效,使用户能够更好地掌握时间。
以上是关于60秒倒计时设计报告的写作内容,请根据您的具体情况进行修改和补充。
希望对您有帮助!。
音乐倒是计时器一、设计要求利用STC89C51单片机结合字符型LCD显示器设计一个简易的倒数计数器,可用来煮方便面、煮开水或小睡片刻等。
做一小段时间倒计数,当倒计数为0时,则发出一段音乐声响,通知倒计数终了,该做应当做的事。
同时输出控制一个继电器吸合,继电器驱动一个3W的大功率LED灯,亮度可以由单片机控制从50%~100%连续可调。
定时闹钟的基本功能如下。
⏹字符型LCD(16 2)显示器。
⏹显示格式为“TIME 分分:秒秒”。
⏹用4个按键操作来设置当前想要倒计数的时间。
一旦按下键则开始倒计数,当计数为0时,发出一阵音乐声。
1、程序执行后工作指示灯LED闪动,表示程序开始执行,按下操作键K1~K4动作如下。
K1—可调整倒计数的时间1~60分钟。
K2—设置倒计数的时间为5分钟,显示“0500”。
K3—设置倒计数的时间为10分钟,显示“1000”。
K4—设置倒计数的时间为20分钟,显示“2000”。
2、复位后LCD的画面应能显示倒计时的分钟和秒数,此时按K1键则在LCD上显示出设置画面。
此时,若:a. 按操作键K2—增加倒计数的时间1分钟。
b. 按操作键K3—减少倒计数的时间1分钟。
c. 按操作键K4—设置完成。
二、系统硬件说明2.1:音乐倒是计时器要实现一种由单片机控制的带LCD 显示的倒数计时器,单片机工作于12MHZ 时钟频率,使用其定时/计数器T0,改变计数值TH0和TL0可以产生不同频率的脉冲信号。
该设计具有5个功能按键,用户可以通过这些按键设置定时时间和选择控制定时,倒计数为零时,可通过扬声器将音乐播放出来。
系统结构图如下图所示。
2.2 AT89C52AT89C52有40个引脚,32个外部双向输入/输出(I/O )端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,AT89C52可以按照常规方法进行编程,但不可以在线编程(S 系列的才支持在线编程)。
任务书:数显声响倒计时电路设计一.任务及要求设计并制作一个数显声响式倒计时电路。
要求如下:1.电路具有10—99秒可预置定时功能。
2.有两个数码管显示计时时间,用一只LED指示计时开始与结束。
按预置(开始)按钮,数码管显示定时时间,LED灯不亮;再按预置(开始)按钮,LED亮,倒计时开始。
3.倒计时结束时,计数器停止计数,LED灯不亮。
4.电路具有开机预置数功能。
5.电路具有最后三秒报时功能,要求响半秒停半秒,共三次。
用压控陶瓷蜂鸣器作为电声元件。
6.自制本电路所用得直流电源和一秒信号源。
二.参考资料《数字电子技术实验任务书》实验四及实验六《电子技术基础》课程设计资料1.整体设计电路1.1整体设计思路总体思路:选用2个74LS192,它是加、减十进制计数器,并且选用了555多谐振荡器,它的作用是产生一个1Hz的方波信号来作为秒脉冲,作为它的cp脉冲。
又因为我们要选用2片计数器构成2位计数的状态,固要采用计数器的级联的方法,因为要加快速度的运行,我们选用的是并行进位的级联。
因为每个片子以及各种元件均需要一个5V的直流电源来驱动,故我们还需要用一个5V的变压器,整流桥与一个三端稳压器来设计一个电源。
因为我们要的是以秒为单位的计数器,所以我们需要的是1Hz的cp信号,这个可以由555多谐振荡器来完成。
555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。
然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。
当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。
然后四线与门的后面接蜂鸣器就可以完成报警功能。
系统还能开机复位,包括计数器清零,从而达到课设题目要求。
1.2 整体设计方案2.单元电路设计和基本原理2.1电源设计根据变压原理:n1:n2=V1:V2,我们要求将220V 电压降为5V 电压,只要原副线圈比为44:1即可实现变压。
数显声响倒计时课程设计1. 课程设计背景数显声响倒计时是一种常用于比赛、演出和活动等场合的倒计时方式,它通过数字显示器展示剩余时间,并在时间结束时发出声音提醒。
这种倒计时方式既直观又方便,能够准确地引导参与者的行为。
本课程设计旨在通过设计和制作一个数显声响倒计时装置,让学生了解和掌握数字显示器、音频输出和Arduino等知识,并将其应用到实际中。
2. 设计目标本次课程设计的主要目标是让学生通过实践掌握以下知识和技能:•理解数字显示器的工作原理和使用方法;•掌握Arduino编程语言及其基本语法;•理解音频输出原理,并能够进行简单的音频处理;•能够将所学知识应用到实际项目中进行设计和制作。
3. 设计内容3.1 数字显示器介绍数字显示器是一种可以显示数字、字母和符号的电子显示设备。
常见的数字显示器有七段数码管和液晶显示屏两种类型。
3.1.1 七段数码管七段数码管是由7个LED灯组成,可以显示0-9的数字和一些字母和符号。
每个LED灯的亮灭可以通过控制对应的引脚电平来实现。
3.1.2 液晶显示屏液晶显示屏是一种利用液晶分子在电场作用下改变排列状态来实现图像显示的设备。
它具有低功耗、薄型化等优点,在数字显示方面应用广泛。
3.2 音频输出介绍音频输出是指将数字信号转换为模拟音频信号进行播放的过程。
常见的音频输出设备有扬声器和耳机等。
3.3 Arduino简介Arduino是一种开源电子原型平台,由硬件和软件组成。
它使用简单的C语言编程语法,可以轻松地与各种传感器、执行器等设备进行交互。
4. 设计步骤4.1 准备材料和工具•Arduino开发板•数字显示器(七段数码管或液晶显示屏)•蜂鸣器(用于发出声音)•连接线•电阻、电容等元件(根据需要)4.2 连接硬件根据电路图连接Arduino开发板、数字显示器和蜂鸣器等设备。
确保连接正确并稳定。
4.3 编写代码使用Arduino编程软件编写代码,实现倒计时功能和声音输出功能。
电子技术综合课程设计课程:电子技术综合课程设计题目:数显声响定时器所属院(系) 电气工程学院专业班级电气1303姓名王晟华学号1309014023指导老师张志伟20XX年 6月 23日目录4.3 倒计时与显示部分 (7)4.5 最后三秒声响部分 (9)111参考资料 (24)1.任务书数显声响定时器一、任务和要求设计并制作一个数显声响定时器,要求如下。
1、用压控陶瓷蜂鸣器作为电声元件,电路具有15秒定时功能。
2、用一个数码管即一个发光二极管表示时间计时,格式如下:初始时LED及数码管均不亮,按开关键后数码管显示5同时二极管亮,然后开始倒计时计数。
3、具有最后三秒报时功能,要求响半秒、停半秒共三下。
4、电路应具有开关复位或手动复位功能。
5、自制一秒信号源及制作本电路所用的直流稳压电源,用AC220V供电。
二、提示和参考文献:《数字电子技术实验任务书》实验四《数字电子技术实验任务书》实验六直流电源参见参考资料P232.前言在我们的生活当中时常会见到这样一种电子设备他不但会倒计时,而且还会发出声响来提醒你,其实这当中的重要部分就是数显、声响式定时器。
在许多场合中都会见到它的身影,现在各种各样的倒计时牌随处可见,这些设备虽然在外形上各有特色,但究其功能都是实现倒计时以及在计时快停止时对人们的提示,所以对这些设备的研究与了解对我们来说还是非常有必要的,再加上它里面所涉及的内容绝大多数都和我们所学习的数字电路基础技术这门专业基础课有关,因此此次倒计时计数器设计对于我们来说是一个锻炼实际应用能力以及将理论与实践相结合的绝好机会。
此次的课程设计主要针对我们在学习数字电子技术之后,如何将理论与实际应用结合起来进行综合设计以培养我们独立分析、思考与解决实际问题的能力以及如何将所学的课程运用于实践中。
3.总体设计方案选择与论证3.1、方案一如图3.1所示,信号直接由555定时器产生(频率1HZ,占空比0.5),由74LS192主控倒计数,JK触发器控制LED和74LS192的复位,三态门控制计数停止。
电子技术综合课程设计报告题目:数显、声响式定时器姓名:张博班级:电子082学号: 0813014036指导教师:何伟完成时间:2010年 9 月 13 日目录1. 任务书 (2)2.前言 (3)3.总体设计方案选择与论证 (4)4.单元电路与总电路设计 (5)4.1 5V电源 (5)4.2 1Hz信号源 (5)4.3 倒计时与显示部分 (6)4.4 复位电路 (7)4.5 最后三秒声响部分 (8)4.6 停止电路 (9)4.7 总体电路 (10)5 实验装调 (11)5.1方法与步骤 (11)5.2故障及其处理 (11)5.3总结和体会 (11)附1、元器件清单 (12)附2、集成电路芯片管脚图 (13)附3、总体电路图................................. 错误!未定义书签。
1.任务书数显、声响式定时器一、任务和要求设计并制作一个数显、声响式定时器,要求如下。
1、用压控陶瓷蜂鸣器作为电声元件,电路具有15秒定时功能。
2、用一个数码管即一个发光二极管表示时间计时,格式如下:初始时LED及数码管均不亮,按开关键后数码管显示5同时二极管亮,然后开始倒计时计数。
3、具有最后三秒报时功能,要求响半秒、停半秒共三下。
4、电路应具有开关复位或手动复位功能。
5、自制一秒信号源及制作本电路所用的直流稳压电源,用AC220V供电。
二、提示和参考文献:《数字电子技术实验任务书》实验四《数字电子技术实验任务书》实验六直流电源参见参考资料P232.前言数显、声响式定时器是一种在生活中参见的电子设备,在许多场合中都会见到它的身影,现在的各种各样的倒计时牌到处可见,这些设备虽然在外形上有很大的区别,但在功能上都是还要实现倒计时以及在计时快停止时对人们的提示,所以对这些设备的研究与了解对于我们来说非常有必要,再加上它里面所涉及的内容绝大多数都和我们所学习的数字电路基础技术这门专业基础课有关,因此此次倒计时计数器设计对于我们来说是一个锻炼实际应用能力的一个绝好机会。
目录课程设计任务书 ·······················································································一、方案的论证和选择···········································································1.1 方案一 ···························································································1.2 方案二 ···························································································1.3 方案的选择:················································································二、单元电路设计 ···················································································2.1 *****电路的设计(详细说明自己所负责模块的设计思路)2.1.1电路原理图设计2.1.2 元器件选择及参数计算2.2 信号源的设计(0.1秒) ······························································2.3 显示电路的设计············································································2.4 控制电路的设计············································································2.5 整体电路图初稿四、电路的装调和分析············································································4.1 ******的装调(详细说明自己所负责模块调试过程及遇到故障可能的原因和最终解决的方法) ··················································4.2 整体电路的调试············································································4.3 实验结果和分析(有误差要求的和实验数据的必须写)五、总结和体会 ·······················································································附录A 总体电路图·············································································附录B 元器件清单·············································································附录C 芯片的管脚图·········································································。