数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术
- 格式:docx
- 大小:446.91 KB
- 文档页数:12
目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。
4.1整体电路图及说明................................................................................ 错误!未定义书签。
第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。
5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。
5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。
5.2整点报时功能的仿真............................................................................ 错误!未定义书签。
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
武汉纺织大学《数字逻辑》课程设计报告题目:院系:专业班级:学号:学生姓名:指导教师:年月日一、引言《数字逻辑》课程设计是配合本课程课堂和实验教学的一个实践性教学环节。
其目的是巩固所学知识,提高实验动手能力,加强综合应用能力,启发创新思维。
其任务是让学生通过动手动脑进行大中型数字逻辑电路的设计、仿真、调试,巩固和应用所学的理论和实验技能;掌握应用EDA开发工具设计大中型数字电路系统的设计流程、仿真、检测技术直至下载到FPGA物理器件进行实际物理测试的能力;提高设计能力和实验技能,为以后进行毕业设计、电子电路的综合设计、研制电子产品等打下基础。
二、系统介绍1.设计平台介绍1)本次《数字逻辑》课程设计使用Altera公司的PLD/FPGA开发软件QuartusⅡ11.0和机房SOPC EDA工具箱。
2)本课程设计使用EP3C80F484C8逻辑芯片,需用Quartus创建一个工程,完成工程中各部分设计后画出总电路图,经过编译后分配管脚,下载到芯片中,在试验箱上连接导线,实现设计。
2.知识点及技术难点分析1)本次课程设计涉及到的知识点主要有:VHDL硬件语言、八段段显示器(数码管)相关知识、时序电路设计、EDA软件及试验箱的使用等。
2)其中较难的是时序电路的设计及EDA软件的使用。
三、设计任务及设计原理1.设计任务此次课程设计课题为数字电子逻辑电路设计,需在试验箱上实现一个24小时制动态显示的数字时钟,具有小时,分钟和秒的显示,且具有整点报时效果(例如在10:59:00开始,每隔2秒发出一次声音,前四次低频率,最后进位时发出高频率声响。
)2.设计原理1)这次课题中需用VHDL硬件语言编出秒钟、分钟、24小时制时钟、8选1数据选择器、八进制计数器、译码器、控制器等7个器件的功能。
2)用控制器实现控制整点报时,快速调小时、分钟,清零秒钟,分频功能。
4HZ脉冲信号经过控制器分频得到1HZ脉冲,512HZ和1024HZ脉冲控制蜂鸣器发出低频率和高频率声音3)秒钟由控制器分频的1HZ频率脉冲控制4)分钟正常情况下由秒钟的进位输出作为脉冲信号控制,在快速调整时间时由4HZ脉冲控制5)时钟同分钟一样。
机电工程学院本科生课程设计题目:数字时钟课程:数字电子技术:任务书目录1设计的目的及任务 (1)1.1课程设计的目的 (1)1.2课程设计的任务与要求 (1)1.3课程设计的技术指标 (1)参考文献 (25)1.设计的目的及任务1.1课程设计的目的(1)巩固所学的相关理论知识;(2)实践所掌握的电子制作技能;能力(3)带有时间校正功能;(4)“闹钟”功能;2.数字时钟的介绍和原理2.1数字时钟的介绍数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。
数字时钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专于数字钟电路的基本组成包含了数字电路的主要组成部分,为了帮助同学们将已经学过的比较零散的数字电路的知识能够有机的、系统地联系起来用于实际,培养综合分析、设计电路的能力,进行数字钟的设计是必要的。
2.2数字时钟的电路组成?数字时钟是用数字集成电路构成,用数码显示的一种现代化计数器。
本系统由振荡器、分频器、校时电路、计数器、译码显示器以及电源电路组成。
秒脉冲发生电路产生秒脉冲信号,不同进制的计数器、译码器和显示器组成计时系统,通过校时电路实现对时、分的校准,电源电路提供稳定的+5v的电压。
?2.3数字时钟的工作原理?数字时钟实际上是一个对1HZ频率进行计数的计数电路。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字时钟,但是出于对1Hz(6)校时电路:由于数字钟的初始时间不一定是标准时间,而且在数字钟的运行过程中可能出现误差,所以需要校时电路来对“时、分”显示数字进行校对调整。
3.数字时钟总设计方案和各部分设计方案3.1数字时钟总设计方案数字时钟有振荡器、分频器、计数器、译码显示、报时等电路组成。
数字逻辑课程设计数字电子钟HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。
2.要求能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
学会撰写综合实验总结报告。
通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。
元器件选择74LS162:4块 与非门74LS00:2块 共阳数码管LED 74LS161:2块 GAL16V8:2块 晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器 74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。
数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
数字电路课程设计数字钟逻辑电路设计
数字钟逻辑电路设计可以参考如下步骤:
1. 确定所需功能:数字钟通常需要显示当前时间、设置闹钟、调整时间等功能。
根据需求确定需要实现的功能。
2. 设计时钟计时电路:时钟计时电路可以使用时钟发生器和计时器组合实现。
时钟发生器用于产生稳定的时钟信号,计时器用于记录时间。
可以选择使用74系列的计数器和分频器来实现。
3. 设计时钟显示电路:时钟显示电路可以使用数码管显示时钟的小时与分钟。
可以使用BCD码->数码管译码器芯片来实现。
4. 设计闹钟功能电路:闹钟功能可以使用定时器和蜂鸣器组合实现。
定时器用于设置闹钟时间,蜂鸣器用于发出闹钟提醒声音。
5. 设计按钮控制电路:按钮控制电路可以使用触发器和门电路组合实现。
触发器用于存储按钮状态,门电路用于控制不同功能的触发。
6. 连接各个模块:根据设计的电路模块连接各个模块,确保信号的正确传递和相互配合。
7. 进行测试和调试:对设计的数字钟逻辑电路进行测试和调试,确保各个功能都可以正常工作。
注意:数字钟逻辑电路设计需要具备一定的数字电路知识和电路设计经验。
在实际设计过程中可能还需要考虑一些细节问题,如时钟信号的精度、电源电压稳定性等。
数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。
2.进一步提高maxplusii软件开发应用领域能力。
3.培育学生综合实验能力。
二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。
1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。
2.利用按键实现“校时”、“校分”和“秒清单”功能。
1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。
2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
3)按下sc,秒清零。
建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。
)3.能够利用实验板上的扬声器并作整点报时功能。
1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。
2)抵达59分后60秒时为最后一声整点报时。
整点报时的频率为1kz。
4.能够惹出时1)闹时的最小时间间隙为10分钟。
2)惹出时长度为1分钟。
3)惹出时声响就是单频的。
5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。
1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。
2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。
3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。
数字逻辑课程设计课题名称数字时钟班级姓名指导教师日期 2008-6-24前言自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。
然而随着时间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。
钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。
在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。
因此,研究数字时钟及扩大其应用,有着非常现实的意义。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路.目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择.目录前言 (2)目录 (2)题目 (2)摘要 (2)关键字 (3)设计要求 (3)正文 (3)1电路结构与原理图 (3)2数码显示器 (3)60进制计数和24进制计数 (4)校时 (7)振荡器 (8)3.计算、仿真的过程和结果 (9)鸣谢 (11)元器件清单 (11)参考文献 (11)总结与体会 (11)教师评语 (12)数字时钟的课程设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
一、设计要求1.具有以二十四小时制计时、显示、整点报时、时间设置公能。
2.精度要求为1s。
二、系统功能简介1.计时:正常工作状态下每天按24小时制计时并显示,蜂鸣器无声,逢整点报时。
2.整点报时:蜂鸣器在59分钟的51、53、55、57、59秒时发出频率为512hz的低音,在59秒时发出1024hz的高音,结束时为整点。
3.显示:要求采用扫描显示方式驱动8个LED数码管显示小时、分、秒、横线。
4.调时和校时:当开关处于“k1”、“k2”处于“1”时正常计时,当k1处于“0”位置时可以对小时校时,当k2处于“0”位置时可以对分钟进行校时。
另外对六十进制计数器加了一个清零端,可以进行秒的复位。
三、系统简介1.开发系统:windows xp/982.开发软件:MAX+PIUS II3.开发芯片:EP1K10TC100—3四、主要模块简介此系统由计时调时模块、闹钟模块、定时模块、动显模块和分频模块组成。
数字钟系统总体结构框图:1 分频器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport (clk :in std_logic;f1024,f512,f4,f1 :out std_logic);end fenpin ;architecture behav of fenpin issignal q:std_logic_vector(9 downto 0);beginprocess(clk,q)beginif(clk'event and clk='1')thenif(q="1111111111")thenq<="0000000000";elseq<=q+1;报时 控制电路 控 计时校时 控制电路 显示 控制电路 整点报时 电路扫描显示 电路end if ;end if ;f1024<=clk;f512<=q(0);f4<=q(7);f1<=q(9);end process;end behav;2 二选一数据选择器library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(d0,d1,sel:in std_logic;q :out std_logic);end mux2_1;architecture amux of mux2_1 issignal temp1,temp2,temp3 :std_logic; begincale:blockbegintemp1<=d0 and sel;--1正常计时temp2<=d1 and (not sel);--0调时temp3<=temp1 or temp2;q<=temp3;end block cale;end amux;324进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo24 isport(clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0));end mo24;architecture wc of mo24 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(qll="0010" and qhh="0011")thenqhh<="0000";qll<="0000";elsif(qhh="1001")thenqll<=qll+1;qhh<="0000";else qhh<=qhh+1;end if;end if;qh<=qhh;ql<=qll;end process;end wc;460进制计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m60 isport(clk,clr:in std_logic;qh,ql:out std_logic_vector(3 downto 0);co:out std_logic);end m60;architecture wc of m60 issignal qhh,qll:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clr='0') thenqll<="0000";qhh<="0000";elsif(clk'event and clk='1') thenif(qll="0101" and qhh="1001")thenqhh<="0000";qll<="0000";co<='1';elsif(qhh="1001")thenqll<=qll+1;co<='0';qhh<="0000";else qhh<=qhh+1;co<='0';end if;end if;qh<=qhh;ql<=qll;end process;end wc;58进制器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mo8 isport(clk:in std_logic;qh:out std_logic_vector(2 downto 0));end mo8;architecture wc of mo8 issignal qhh:std_logic_vector(2 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif( qhh="111")thenqhh<="000";elseqhh<=qhh+'1';end if;end if;qh<=qhh;end process;end wc;68选1数据选择器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux81 isport(a0,a1,a2,a3,a4,a5,a6,a7:in std_logic_vector(3 downto 0);b:in std_logic_vector(2 downto 0);q:out std_logic_vector(3 downto 0));end mux81;architecture wc of mux81 issignal qq:std_logic_vector(3 downto 0); beginprocess(b)begincase b iswhen "000"=>qq<=a0;when "001"=>qq<=a1;when "010"=>qq<=a2;when "011"=>qq<=a3;when "100"=>qq<=a4;when "101"=>qq<=a5;when "110"=>qq<=a6;when "111"=>qq<=a7;when others=>qq<="0000";end case;q<=qq;end process;end wc;77段译码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yima7 isport(d:in std_logic_vector(3 downto 0);y:out std_logic_vector(6 downto 0)); end yima7;architecture wc of yima7 isbeginprocess(d)begincase d iswhen"0000"=>y<="1111110";when"0001"=>y<="0110000";when"0010"=>y<="1101101";when"0011"=>y<="1111001";when"0100"=>y<="0110011";when"0101"=>y<="1011011";when"0110"=>y<="1011111";when"0111"=>y<="1110000";when"1000"=>y<="1111111";when"1001"=>y<="1111011";when others=>y<="0000001";end case;end process;end wc;8报时器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity baoshi isport (m1,m0,s1,s0: in std_logic_vector(3 downto 0); clk:in std_logic;q: out std_logic);end baoshi;architecture rt of baoshi isbeginprocess(m0,m1,s1,s0)beginif rising_edge(clk) thenif m1="0101"and m0="1001" and s1="0101" thenif s0="0001"or s0="0011"or s0="0101" or s0="0111"or s0="1001" thenq<='1';else q<='0';end if;else q<='0';end if;end if;end process;9 顶层模块组合:五相关图形(一) 1.控制模块仿真图2.计时控制模块仿真图4.显示驱动模块仿真图:5.动态显示仿真图:6.闹铃模块仿真图:五、设计感言。
课程名称:数字电路逻辑设计课程设计设计项目:数字电子钟学生姓名:同组人:高爽一.设计目的1.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2.进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3.提高电路布局﹑布线及检查和排除故障的能力;4.培养书写综合实验报告的能力。
二 . 设计要求1.设计一个具有时、分、秒显示的电子钟(23小时59分59秒);2.应该具有手动校时校分的功能;3.应该具有整点报时功能:从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次;4.使用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试;5.画出框图和逻辑电路图,写出设计、实验总结报告。
三 . 设计原理1.数字电子钟基本原理数字电子钟的逻辑框图如下图所示。
它由555集成芯片构成的振荡电路、分频器、计数器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
2.数字电子钟单元电路设计时钟脉冲已经由实验箱提供,实验箱提供的是秒脉冲;显示电路已经由实验箱提供。
(1)计数器电路A.秒个位计数器,分个位计数器,时个位计数器均是十进制计数器;B.秒十位计数器,分十位计数器均是六进制计数器;C.时十位计数器为二进制计数器因此,选择74LS90可以实现二-五-十进制异步计数器芯片实现上述计数功能。
时位计数器分位计数器秒位计数器(2)手动校时电路当数字钟走时出现误差时,需要校正时间。
校时电路实现对“时”“分”“秒”的校准。
在电路中设有正常计时和校对位置。
本实验实现“时”“分”的校对。
对校时的要求是:在小时校正时不影响分和秒的正常计数;在分钟校正时不影响秒和小时的正常计数。
手动校时电路图(3)整点报时电路整点报时功能:即从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次。
课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
1.4提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。
2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
2.5学会撰写综合实验总结报告。
2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。
元器件选择74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块 GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。
《数字逻辑》课程设计报告题目数字时钟学院(部)信息工程学院专业计算机科学与技术班级计算机一班学生姓名学号201324026 月29 日至7 月 3 日共1 周指导教师(签字)题目一.摘要:钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。
诸如定时自动报有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。
所现实的意义。
本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。
二.关键词:校时计时报时分频石英晶体振荡器三.技术要求:1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2、有计时功能,时钟不会在计时的时候停下。
计时范围是0~99秒;3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟;4、要在七段显示器(共阴极6片)显示时间;5、电子钟要准确正常地工作。
四、方案论证与选择:钟表的是长期使用的器件,误差容易积累由此增大。
所以要求分频器产生的秒脉冲要极其准确。
而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。
秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。
由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。
由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。
在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。
石英晶体我们选择的是振荡频率为2ⁿ(我们找到的最小振荡频率为n=15),与四个74LS161组成的计数器来分频,使振荡频率变为1HZ,这样秒脉冲就产生了。
洛阳理工学院课程设计课程名称数字电子技术课题名称多功能数字钟专业电器工程及其自动化班级学号姓名指导教师年月日洛阳理工学院学院课程设计任务书课程名称数字电子技术题目多功能数字钟专业班级学生姓名指导老师审批任务书下达日期年月日设计完成日期年月日目录一、设计总体思路、基本原理 (7)二、设计框图 (8)三、单元电路设计 (9)1、分秒计数器电路 (9)2、24小时计数器电路 (10)3、整点报时电路 (11)4、校时电路设计 (13)5、秒脉冲产生器 (13)四、EWB软件和重要芯片的介绍 (15)五、仿真结果 (17)六、电路的安装与调试 (21)七、总结与体会 (23)八、附录 (25)九、参考文献 (25)十、整机原理图 (26)十一、评分表 (27)多功能数字钟课程设计一、设计总体思路和基本原理数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置、具有更长的使用寿命,等优点,因而得到了广泛的应用、小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。
数字电子钟由以下几部分组成:秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分。
从课程设计要求来看,数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器、校时电路和整点报时电路这几个部分。
数字钟要完成显示需要6个数码管,八段的数码管需要译码器才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。
频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1HZ。
方案可以采用74LS160同步十进制加法计数器或采用74LS161十六进制计数器或74LS192十进制异步清零计数器,也可进行组合来组成10进制和6进制的计数器。
数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。
2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。
3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。
4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。
二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。
3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。
2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。
3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。
4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。
5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。
6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。
数字逻辑课程设计自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。
然而随着时间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。
钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。
在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。
因此,研究数字时钟及扩大其应用,有着非常现实的意义。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路•目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择•前言 (2)目录 (2)题目 (2)摘要 (2)关键字 (3)设计要求 (3)正文 (3)1电路结构与原理图 (3)2数码显示器 (3)60进制计数和24进制计数 (4)校时 (7)振荡器 (8)3.计算、仿真的过程和结果 (9)鸣谢 (11)元器件清单 (11)参考文献 (11)总结与体会 (11)教师评语 (12)数字时钟的课程设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时功能地实现•关键字:60进制.24进制•时分秒的控制,较时• 设计要求:1.时间以24小时为一个周期2.显示时,分,秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间正文:.总体方案:数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器和校时这几个部分。
数字钟要完成显示需要6个数码管,八段的数码管需要译码器械才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。
60进制可能由10进制和6进制的计数器串联而成,而小时的24进制可以采用74LS191的十进制计数器和D触发器来产生计数和进位。
频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1H乙主体思路如下图所示:具体操作中,计时电路可采用74LS161.74LS290.74LS90等器件分别构成60和24进制的计数器而完成,显示部分可采用数码管直接实现,振荡分频部分由于有函数发生器可直接采用。
较时功能是设计的难点,我们设计了两种方案,一种是需要较时时通过将161 的置数端打到0,在161的输入端接编码器,需将时钟较时为多少就在编码器的输入端将对应的I 置为0•但在实际操作时极为繁琐,故不采用。
另一方案是:当需要较时时,将分或 时直接将clock 接函数发生器(将函数发生器的频率定在150HZ 左右),通过函数发生器直接驱动要较时的部分一步步变到准确值。
此方案简洁易懂且操作方便,因此实验中采用此方案。
1.电路结构与原理图(1)数码显示器在MultisimlO.O 仿真器件中,数码管分为需要译码器显示的和无需译码直接显示的 两种,需要译码器的数码管有共阳极和共阴极之分,此电路采用的是不需译码直接显示的数码管(如图1所示),这样就简化了电路,增加了调试的正确性。
如图2所示的数码管需要译码器才能显示,74LS47是驱动共阳极数码管的器件,74LS48是驱动共阴极数码管的器件 。
U204. 3- R. 1-74LS48的A , B, C , D 端输入二进制数便可完成显示功能,而图 1的数码管直接输入二进制数便可显示。
(2)60进制计数和24进制计数 方案一:基于74LS161的计数设计在设计数字钟电路中,进制是最主要的一部分,它关系着显示的正确与否。
关键在于 了解各种器件的作用及功能,而且在调试的过程中容不容易出问题,电路会不会变得复杂,图1不需译码管的数码管如图3所示电路,从器件的选择最好要统一,以便调试成功。
①分和秒的六十进制:从常理可知,数字钟需要六十进制和十二进制计数器,而六十进制可通过十进制和六 进制串联而成,从而完成数码显示。
因为同步加法计数器 74LS161可构成16进制以下的计数器,所以此电路中分和秒的计时都采用 74LS161来进行设计。
而小时是 24进制计数,依然用74LS161,但电路作了改进。
在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个 六进制计数器串联而成的,在电路的设计中我采用的是统一的器件 74LS161N 的反馈置数法 来实现十进制功能和六进制功能,十进制的同步加法计数器有 74160和74192,而没有现成的六进制同步加法计数器。
图4是用74LS161构成六进制计数器的结构图,根据74LS161的结构把输出端的 0101 (十进制为5)用一个与非门74LS00引到Load 端便可置0,这样就 实现了六进制计数。
图5是用74LS161构成十进制计数器的结构图, 同样,在输出端的1001(十进制为9)用一个与非门74LS00引到Load 端便可置0,这样就实现了十进制计数。
在 分和秒的进位时,用秒计数器的 Load 端接分计数器的 CLK 控制时钟脉冲,脉冲在上升沿来时计数器开始计数。
②小时的二十四进制:数字钟的小时要用到十二进制,要用到十进制,并且在计数到 12时要清零,所以不能 用单纯的十进制计数器,考虑到在12时要清零,还是要用两个74LS161来实现。
具体的电U874S03D456■riiVCC U374LS161D4 56U9DCD HEXU1A5IVCC10U574LS161D 5VU4A 74S08NDCD HEXU7A74S03D5VXFG2CC 123路图如图6。
个位采用十进制,而且当同时满足十位为1,各位为2时,两个计数器同时清零,这自然就要想到用与非门和非门反馈接到清零或置数端来实现,电路也是用反馈置的方法。
其他原理与①相同,不再细讲。
方案二:基于74LS290的计数器在课本中还学习了74LS290,此计数器也有计数的功能,因此也可进行设计•以下两图为60进制分秒的设计,以及24进制时的设计.101374IS290 的60进制14处为分的进位方案比较:在设计中如果没有较时电路,那么用290或161基本上是一样的,只是连接方式不同而已•但加入较时电路后,就会出现两种方案:一种是在161下的ABCD端口接上编码器,并且在其Ld 端设置开关,计数时接高电平,当需进行较时时,接低电平•此时将编码器的I0 到I9中的某一端口接高电平,这样就会在161的ABCD端口有置数信号,从而实现置数.但在290中,没有置任意数功能,只能制一和制九,因此远远不能完成电路需要较时的功能•因此我们放弃了用 290进行设计的方案•选择了用161进行设计. (3)校时由于Multisim 可以仿真,并有函数发生器,最简单的校时方法就是通过开关用函数发 生器对CLK 端输入脉冲以改变显示的数值。
此电路的设计就是采用这种方法校时的,虽可以只用一个函数发生器来实现同步, 但调试时结果不能体现出来,所以用另外的函数发生器来实现校时。
校时的具体设计方法是:用一个单刀双掷开关切换计数功能与校时功能,另一端接计 数器的脉冲输入端,开关置于函数发生器这一端便可以校时,置于计数器的进位端便是计时。
不校正时间时开关都应打在与非门的那一端,校时时才用键盘操作改变开关的状态。
图6 控制小时显示的进位电路图(4)振荡器振荡器可由晶振组成,也可以由555定时器组成。
图7是由555定时器构成的1KHZ的自激振荡器,其原理是 0.7(2R 3+R+F 5)C 4=1ms f=1/t=1KHZ 。
计时是1HZ 的脉冲才是1S 计 一次数,所以需要分频才能得到1HZ 的脉冲,如图8所示电路,是三个用十进制计数器 74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输 出1个脉冲,这样一片74LS90就可以起十分频的作用, 三个74LS90串联就构成了千分频的电路,输出的便是1HZ 的信号,从而达到目的。
在仿真时,1HZ 的频率太慢了,在实际中得到的时间不是1S 计数一次,所以仿真都是- •—* -1-my||■** —T=7+0BJ -fj■ ■-U23AJ.12 ..74LS161用函数发生器代替,所以在数字钟总电路图中没有振荡器。
图7 555定时器产生频率为 1KHZ 信号的电路图8把1KHZ 的信号分频为1HZ 信号的电路2.计算、仿真的过程和结果在74LS161的调试中发现没有到 16而进位在进位时会多出一个消隐状态,为了消除C3-:土1吋■LM555s -Ar-u 7--nl口出+1-」UE74■mzM A 纟T Am SNQ•¥NM ^©I/」£缸一0^■ TI7.QL由工1 <ZI.5V-R4 2KQ' LIN95%DIS .S.lkQTHR ■7KI . F€0N ■GNO这个状态,我用一个非门从进位端接到异步清零端, 就可消除这个状态, 从而让计数重新从零开始。
图中的秒和分的60进制可以用十进制计数器74LS160和74LS161代替,十进制计数器代替74LS161可以减少与非门的使用, 这样就更加简化了电路, 相当于一个小小的改进。
仿 真的结果在Multisim 中可以清楚地看到。
从左到右的函数发生器中第一个是为校时提供 的,第二个是为校分提供的,第三个是是正常计数产生脉冲的信号源。
Time (S)5S.600tn SS.SOOm 59.000m59.200m 59.600m图9 数字钟总电路图和仿真效果 (总电路见附图)ns9Tso 3 T H 4 T SQ 疔 Tax JTwnf^T KIL J T MD P Tera 10 1K =11 Tir=12 Tita 13 T*ral41^15 Tiru ljClKkjnt -~. ___ J~| _ I ~| I~| |~| _ |~:: :::和**灵.戢X) rm 0001T2辛~ODMR*. trs* 1T2^T1riwirClocki Div 11±1 S«t... I ExterraUdllf CM 剽严1Logic Analyzer-XLAlLL鸣谢:谢谢学校的支持以及田莉娟老师•邓秋霞老师林涛老师的支持及辅导,他们在课设期间给了我们最大的帮助,为我们解答了很多难题•还有图书馆书籍的支持,同学的帮助,尤其是小组其他成员肖建武•张珊同学的帮助,还有好友辛龙的鼎立支持。