59分59秒倒计时程序及仿真显示
- 格式:doc
- 大小:24.00 KB
- 文档页数:5
电子技术课程设计报告2013年12月前言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。
由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
目录题目摘要关键词设计要求 (3)第一章系统概述 (4)第二章单元电路与分析 (5)2.1 秒信号发生器 (5)2.1.1 选择信号发生器方案 (5)2.1.2石英晶体多谐振荡器 (7)2.1.3方案对比与选择 (9)2.1.4 555构成的多谐振荡器仿真图 (9)2.2消抖电路及其原理 (10)2.3分、秒、毫秒计数器电路设计 (10)2.3.1选择计数器的方案 (10)2.3.2 74LS160计数器功能的介绍 (11)2.3.3计数器最终连线图 (12)2.4译码器 (13)2.4.1译码器的基本原理 (13)2.4.2 74LS48显示译码器管脚图 (13)2.4.374LS48功能介绍 (13)2.5数码管 (15)2.5.1七段数码管工作原理 (15)2.5.2七段数码管内部结构介绍 (16)2.5.3显示器匹配电路图 (17)第三章系统综述 (18)3.1总电路图 (18)第四章结束语 (19)4.1课程总结 (19)4.2故障分析 (19)参考文献 (20)元件明细表 (20)鸣谢 (21)收获和体 (21)评语 (23)数字式秒表摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
[实验要求]使用软件延时的方法实现0-59秒自动计数器,用数码管的前两位显示出来。
[实验目的]练习进位操作,数码管动态显示。
[硬件电路][源代码]//59秒自动计数器#include<reg51.h>#define uchar unsigned charuchar j,k,i,a,A1,A2,second;sbit dula=P2^6;sbit wela=P2^7;uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d, 0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};//数字编码void delay(uchar i) //延时函数{for(j=i;j>0;j--)for(k=125;k>0;k--);}void display(uchar sh_c,uchar g_c) //显示函数{dula=0;P0=table[sh_c]; //显示十位dula=1;dula=0;wela=0;P0=0xfe;wela=1;wela=0;delay(5); //亮5msP0=table[g_c]; //显示个位dula=1;dula=0;P0=0xfd;wela=1;wela=0;delay(5); //亮5ms}void main(){while(1){second++; //秒加一if(second==60) //判断是否到60second=0; //如果到了则清零A1=second/10; //没到则分离出十位和个位A2=second%10;for(a=50;a>0;a--) //显示部分。
至于时间大概是多少 { //请用户用软件仿真看时间约等于1秒display(A1,A2);};//便可,如果需要精确定时请用定时器}}。
数字秒表课程设计及仿真一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的工作机制。
2. 学生能描述数字秒表电路的组成,包括时钟电路、触发器、计数器等关键元件。
3. 学生能够运用所学知识,分析并解释数字秒表中时间测量的精度和误差来源。
技能目标:1. 学生能够运用仿真软件设计并搭建一个简单的数字秒表电路模型。
2. 学生通过实际操作,学会设置数字秒表,进行时间的测量和记录,掌握基本的时间计算方法。
3. 学生能够利用仿真工具对数字秒表电路进行调试,解决简单的故障问题。
情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣,增强对科学探究的热情。
2. 学生能够在小组合作中发展团队协作精神,学会相互尊重和交流分享。
3. 学生通过实际操作和问题解决,培养面对挑战的积极态度和解决实际问题的自信心。
课程性质:本课程属于电子技术实践课程,结合理论教学与实际操作,强调知识的应用与创新。
学生特点:考虑到学生年级特点,课程设计将结合学生的好奇心和动手能力,通过形象直观的仿真实验,激发学生的学习兴趣。
教学要求:教学过程中应注重理论与实践相结合,强调知识的应用和技能的培养,通过课程学习,使学生能将所学知识内化为解决实际问题的能力。
教学评估将基于学生在课程中的具体学习成果进行。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字秒表基本原理:介绍数字秒表的计时原理,分析时钟电路、触发器、计数器等关键元件的工作原理。
2. 数字秒表电路组成:详细讲解数字秒表的电路结构,包括时钟电路、控制电路、显示电路等组成部分。
3. 仿真软件应用:教授学生如何使用仿真软件,搭建数字秒表电路模型,并进行调试。
4. 实践操作:指导学生进行数字秒表的设置、时间测量和记录,以及基本的时间计算方法。
5. 故障分析与解决:教授学生如何分析数字秒表电路中的常见故障,并运用所学知识解决问题。
教学内容安排如下:第一课时:数字秒表基本原理及电路组成1. 介绍计时原理和关键元件2. 分析电路结构及工作原理第二课时:仿真软件应用与实践操作1. 搭建数字秒表电路模型2. 进行仿真调试和实际操作第三课时:故障分析与解决1. 分析常见故障及其原因2. 解决实际问题,提高操作技能教学内容与教材关联性:本课程内容紧密联系教材中关于数字电路、计时器等方面的知识,确保学生所学内容的科学性和系统性。
课程设计0—59秒计时码表说明书一、课程设计目的通过本课程设计,能够综合运用所学理论知识,拓宽知识面,系统地进行电子电路的工程实践训练,培养工程师的基本技能,提高分析问题和解决问题的能力。
二、课程设计要求本课程设计分为实际设计与虚拟仿真两个环节。
实际设计应使学生学会电子系统设计的基本设计方法,包括:方案的选择、框图的绘制、单元电路的设计、元器件的选择等方面。
虚拟仿真环节应使学生学会使用电路仿真分析软件(Multisim)在计算机上进行电路设计与分析的方法。
要求学生所选课题必须在计算机上通过虚拟设计确定设计方案,通过虚拟仿真建立系统,完成设计要求。
三、课程设计内容设计题目:0—59秒计时码表要求:●制作60进制计数器,计数由00开始计数,累计时钟脉冲的个数,直到变化为59后返回00。
●设置start/stop按钮,可以启动-停止计数电路。
●设置reset按钮,能在任意时刻使电路复位为00,再次按下start/stop按钮后才可重新计数。
四、课程设计工作原理本方案采用六十进制工作原理,通过常见进制的计数器的组合构成所需的六十进制计数器再加上对脉冲的计数来完成从00到59秒的计数。
同时,根据芯片本身的特点和各个接口的功能,加上必要的开关控制完成对计数器的启动-停止和复位操作。
五、课程设计实现方案利用串行置零法将两片十进制74LS160D接成六十进制的计数器,通过对脉冲源增加开关的控制即对脉冲的开关控制来完成计数器的启动-停止操作,通过对置零端RD’的置零和置1控制完成计数器的复位操作,当置零端RD’置零时,计数器复位,当置零端RD’置1时,计数器计数,这个开关控制要求是弹起式开关。
六、设计过程首先完成六十进制计数器功能的实现1.在右侧工具栏中单击TTL按钮,从弹出对话框中选择74LS选项中的74LS160D芯片,并将其拖动到绘图区。
2.设计一个芯片控制低位,另一个芯片控制高位,两个芯片通过串行方式连接起来。
E69 计分牌操作说明书功能- 24小时时间显示- 记分范围到9小时59分59秒- 可以设定倒计时- 双重倒数定时器- 在50米处能清楚看到4寸高的液晶显示数字- 摇控器的频道――不需要束缚- 可以用AC适配器或装4个D尺寸的电池供电- 可以安置在墙上或放到任一个地方- 有16个不同的频道,使用者可以从一个频道调到另一个,可以避免附近的干扰配件的清单 - 计分牌- 带有4节3A电池的摇控器- 支撑架- AC适配器- 说明书更换商标牌用适当工具把计分板的侧面打开如图(2),退出透明胶片,再放入所需的商标牌的纸板,然后依方向装回胶片,锁侧门。
注意:胶片有反光不反光两面,不反光面向外可避免因反光而看不见数字。
安装计分板计分牌可用两颗螺丝安全地固定在墙壁上,也可以把计分牌单独放在地板上,或是用支撑架安全的固图.2图.3电源AC连接,只用AC适配器(9V)就可以供应电源,检验适配器显示的度数是否供应到你的位置的一样,如图3所示把适配器插在记分牌右边的插座上,数据就会自动显示,并且准备设定。
当没电的时候就须在电池盒里放4个D尺寸的电池如图4所示,装了电池之后,按开关(ON/OFF)键,可控制计分牌开关,如果插了电,里面又有电池,在没电源的情况下就可以继续供电。
计分板侧的音量制能调较音量至大、小、甚至静音。
按照图5所示装摇控器的电池。
时间设定在图6所显示的时间模式下,按住MODE键3秒钟后会进入如图7所示的画面,在这个模式下,按一次SET 键数字就会一闪一闪,调分钟时按<+/-TEAM2>键,调时钟就按<+/-TEEM1>键,调完时间后按SET键就会回到设定好时间的模式下。
运动秒表及设定在设定好了时间的模式下,按住MODE键3秒钟后再按一次,它将会显示“C UP"如图8所示,然后按一次SET键会显示0:00:00,按住<START/STOP>,开始计时,再按一次停止,当停止计时时,按紧SET/ RESET键三秒,计时回零。
倒计时器的设计前言电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。
计时器是采用数字电路实现的数字显示计时装置。
本系统由振荡器,计数器,译码器,LED显示器组成。
采用74LS系列中小规模集成芯片。
计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点。
而且钟表的数字化给人们生产生活带来了极大的方便。
本设计主要能完成一下功能:显示59秒倒计时功能;系统设置外部操作开关,控制计时器的启动和暂停/继续功能;计时器为59秒递减计时其计时间隔为1秒;计时器递减计时到“00”同时报警电路发出声音报警,延时5秒等。
整个电路的设计借助于Multisim仿真软件以及数字电路相关理论知识,并在Multisim 下设计和进行仿真,得到了预期的结果。
一.设计要求1.设计一个有“秒”(59秒)显示且倒计时功能计数器2.用小规模集成电子钟,有启动,暂停,继续计时功能3.倒计时完成后具有声音报警功能。
4.画出框图和逻辑电路图、写出设计总结报告二、设计目的:在学完了《数字电子技术》课程的基本理论后,能够综合运用所学知识设计和制作实际需要的简单电子电路,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题解决问题的能力。
理解倒计时器工作原理,实现以中小规模集成电路设计计时器的方法,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它是由时钟脉冲产生电路、计数电路、译码驱动及显示电路、报时电路及电源电路组成。
时钟脉冲采用555定时器构成多谐振荡电路产生,通过Multisim绘制了电子电路仿真原理图,并进行仿真,同时用万能板焊接制作了硬件实现电路。
基于PLC 的倒计时显示控制器设计绪言倒计时系统的任务就是对某一设定日期进行倒数,在显示屏显示距设定日期的时间,广泛应用于重大的节目或者活动,以增强人们的关切程度和紧迫感,如2022 年北京奥运会, 2022年上海世博会等。
普通情况下,倒计时系统具有倒计时和时钟功能,用途单一,使用周期短,一旦倒计时任务完成,系统也完成为了历史任务。
但是因为程序设计简易,可操作性强,于是倒计时系统以及硬件可以被反复使用。
到目前为止,用PLC进行倒计时系统的设计比较少,多为使用单片机或者是EDA 行进设计,因此PLC在倒计时系统的设计上较为欠缺。
本次课题使用PLC对百天倒计时系统进行系统的研究与设计,将在某些领域弥补PLC的研究缺陷。
以 2022 年北京奥运会百天倒计时为例。
该倒计时器可以动态显示天、小时、分、秒,开机后显示初始状态(全零态),然后按当天距开幕的实际天数进行设置。
设置好后按下启动按钮,百天倒计时就开始;若按下住手按钮将住手计时,显示当前状态。
天、小时、分、秒各状态可随时调整和设置,各状态显示采用动态循环扫描方式。
第一章硬件系统介绍1.1 可编程序控制器的概述可编程序控制器(Programmable Logic Controller,,简称PLC),它是以微处理器为核心的通用工业控制装置,是在继电器-接触器控制基础上发展起来的。
随着现代社会生产的发展和技术进步,现代工业生产自动化水平的日益提高及微电子技术的迅猛发展,当今的PLC 已将3C ( Computer 、Control 、Communication)技术,即微型计算机技术、控制技术及通信技术融为一体,在控制系统中又能起到“3电”控制作用,即电控、电仪、电信这三个不同作用的一种高可靠性控制器,是当代工业生产自动化的重要支柱。
普通讲, PLC分为箱体式和模块式两种,但它们的组成是相同的。
箱体式PLC 中有CPU板、 I\O板、显示面板、内存块、电源等,所有的电路都装入一个模块内,构成一个整体。
题目一:秒计时器功能要求:1.系统上电,数码管显示“99”.2.每隔1秒,数码管显示减1,减小到“00”后,数码管显示“00”,同时继电器开启。
3.按键的定义如下:“暂停/开始”按键S13:当S13按下时,秒表计时停止,数码管显示当前数值,再次按下时恢复计时。
“设置”按键S14:当停止计时时,按下S14键,可以设置秒数。
按键S1-S10分别对应数字0-9,先输入数字为十位数,后输入数字为个位数,若输入数字大于99,数码管显示“99”。
设置结束后,按下S13键启动计时。
“重新开始”按键S15:当S15按下时,数码管显示为“99”,秒表从新开始计时。
#include<reg51.h>#include<intrins.h>unsigned char code Tab[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};unsigned char code jp[]={0xee,0xde,0xbe,0x7e,0xed,0xdd,0xbd,0x7d,0xeb,0xdb,0xbb,0x7b,0xe7,0xd7,0xb7,0x77};unsigned char a[2]={0,0};unsigned char int_time;unsigned char second=99;unsigned char c;bit zt;bit sz;unsigned char count;unsigned char y;unsigned char x;unsigned char count2;//函数功能:数码管动态扫描延时void delay(unsigned char s){unsigned char i,j;for(i=0;i<s;i++)for(j=0;j<125;j++);}//数码管显示子程序void DisplaySecond(unsigned char k){P2=0xfe;P0=Tab[k/10];delay(1);P2=0xfd;P0=Tab[k%10];delay(1);}//扫描键盘的值void sm(void){ unsigned char k,j,n,a,m;m=0xfe;P1=0xf0;k=P1;k=k&0xf0;if(k!=0xf0){ delay(5);if(k!=0xf0){for(j=0;j<4;j++){ P1=m;n=P1;for(a=0;a<16;a++){if(jp[a]==n)c=a; //键值保存在C中while(P1==jp[a]);}m=_crol_(m,1);}}}}//按键void aj(void){if(P1!=0xf0){if(c==12) //按下暂停/开始键{count++;if(count==1){TR0=0;zt=1;}if(count==2){TR0=1;count=0;}}if(c==13){if(zt==1){second=00;sz=1;count2=0;}}if(c<10){if(sz==1){count2++;if(count2==1){a[0]=c;second=a[0]*10+a[1];}if(count2==2){a[1]=c;second=a[0]*10+a[1];}}}if(c==14){second=99;}}P1=0xf0;}//主函数void main(void){TMOD=0x01;TH0=(65536-46083)/256; TL0=(65536-46083)%256; EA=1;ET0=1;while(1){DisplaySecond(second);sm();aj();}}//函数功能:定时器0的中断服务子程序void interserve(void)interrupt 1 using 1 {int_time ++;if(int_time==20){int_time=0;second--;if(second==-1){second=00;P2=0x7f;delay(5);}}TH0=(65536-46083)/256;TL0=(65536-46083)%256;}。
一、59分59秒倒计时程序:/*倒计时及显示程序,适用于寻迹小车实验板*/#include<>#define uchar unsigned char#define uint unsigned intuchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e};//共阳七段编码uchar temp=0;//定义定时器溢出计数变量,每隔50ms产生1次溢出,temp加1/*uint time=5959;//定义倒计时变量,当temp计数加20(20x50ms=1s)时,time减1 */uchar miao=59;uchar fen=59;sbit P0_7=P0^7 ;/*--定时计数器T0及其中断初始化函数--*/void timer0init(void){TMOD=0x01;//设置定时器0为工作方式1TH0=(65536-50000)/256;//16位计数初值除以256得到高8位初值TL0=(65536-50000)%256;//16位计数初值除以256的余数得到低8位初值EA=1;//开总中断ET0=1;//开定时器0中断TR0=1;//启动定时器0}/*----------延时函数---------------*/void delay(uint n){uint i,j;for(i=n;i>0;i--)for(j=124;j>0;j--);}/*定时计数器中断程序,每当定时计数器溢出时触发中断,执行该程序*/void time0() interrupt 1{TH0=(65536-50000)/256;//重装初值TL0=(65536-50000)%256;if(temp==20){temp=0;if(miao==0){miao=59;if(fen==0) fen=59;else fen--;}else miao--;}else temp++;}/*--------------显示函数------------*/void display(void){P1=0xfe;//输出个位的位码P0=table[miao%10]; //输出时间个位的段码delay(5); //亮5msP1=0xfd;//输出十位的位码P0=table[miao/10]; //输出时间十位的段码delay(5); //亮5msP1=0xfb;P0=table[fen%10];P0_7=0;delay(5);P1=0xf7;P0=table[fen/10];delay(5);}/*----------主函数-----------------*/void main(void){timer0init();//调用初始化函数对定时计数器进行初始化while(1){display();//调用显示函数显示时间}}二、仿真电路及显示图:。
毕业设计59秒自动计数器的设计与实现摘要:数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒。
一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。
关键词:译码显示计数器频率发生器Will: a digital clock is picked by digital circuit, points to realize, SEC. The timer. Digital display, widely used in individual family, station, wharf and public places such as office, as people daily life necessities, due to the development of digital IC quartz crystal oscillator and extensive application of the digital clock, precision, far more than old clocks and watches for production of digital life brought great convenience, and greatly expanded the clock chime of the original function.A digital clock is a "time", and "points", "the second man," in the visual display of the timer organs. It's time for 24 hours, show period for the full scale 23 when 59 59 seconds. A basic digital clock circuit mainly by the second signal generator, "when, minutes and seconds," counters, decoder and monitor.Keywords: decoding display counter frequency generator目录摘要 (1)前言 (3)1 方案设计与论证 (4)1.1方案设计 (4)1.2 论证 (5)1.3 数字钟的组成框图 (6)1.3.1 定时器 (6)1.3.2时间计数器电路 (6)1.3.3 译码驱动电路 (6)2 系统分析与设计 (6)2.1单元电路设计和器件选择 (7)2.1.1 定时器 (7)2.1.2 60进制计数器 (10)2.1.3 24进制计数器 (13)2.1.4 译码显示器 (14)2.2 完整电路图和工作原理 (16)2.2.1 电路原理图 (18)2.2.2 工作原理 (18)2.2.3所用器件列表 (20)2.3 电路的安装焊接与调试 (20)2.3.1电路的安装焊接 (20)2.3.2电路的调试 (21)2.4数字钟电路布线图 (22)3. 数字钟的设计与制作 (23)3.1 数字钟的基本组成及工作原理 (23)3.1.1数字钟的构成 (23)3.2数字钟的工作原理 (24)3.3 数字钟的设计与制作 (26)4 数字钟的设计与制作 (30)4.1 试用CPLD器件设计并制作一数字钟 (33)5、整体电路设计思路 (35)5.1时钟电路 (36)5.1整点报时电路 (38)5.2作息时间自动打铃器和工业控制器 (38)6.全硬件LED数显电子钟 (39)6.1液晶显示模块 (41)6.3测试方法及结果 (43)结论 (45)致谢 (46)参考文献 (47)前言数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。
Adobe Premiere Pro中的画面倒计时效果画面倒计时是一种常见的视频编辑效果,它可以用来增加视频的紧张感和戏剧性。
在Adobe Premiere Pro软件中,可以很轻松地实现这种效果。
本文将介绍如何利用Adobe Premiere Pro中的工具和特效来制作画面倒计时效果。
首先,我们需要找到一个适合的倒计时素材。
可以在互联网上搜索免费的倒计时视频素材,或者自己制作一个。
确保素材中有清晰可见的数字和背景。
将倒计时素材导入到Adobe Premiere Pro软件中。
在项目面板中右键点击空白处,选择“导入”并选择素材文件。
该素材将出现在项目面板中。
接下来,将素材拖动到时间线中。
将其放置在你想要添加倒计时效果的位置上。
然后,双击该素材,在源监视器中打开它。
选择“效果控制”面板,确保该面板当前正在显示倒计时素材。
在“效果控制”面板中,找到“倒计时效果”选项。
点击“倒计时效果”,将其拖动到素材上。
在“效果控制”面板中,你可以自定义倒计时的开始时间、结束时间和速度。
根据你的需求,调整这些参数,使倒计时符合视频的要求。
倒计时效果添加完成后,你可以继续编辑视频。
可以在倒计时素材的前后添加其他视频剪辑,使整个视频更加完整。
如果想要更加个性化的倒计时效果,可以探索Adobe Premiere Pro 的其他特效和调整选项。
比如,可以尝试添加转场效果或修改素材的透明度。
当完成所有编辑工作后,你可以导出视频。
在菜单栏中选择“文件”->“导出”->“媒体”,选择导出格式和路径,点击“导出”按钮即可。
通过以上步骤,你可以利用Adobe Premiere Pro软件轻松制作画面倒计时效果。
记住,实践是最好的老师,多尝试不同的参数和特效,找到最适合你视频的倒计时效果。
总之,Adobe Premiere Pro是一款功能强大的视频编辑软件,可以实现各种各样的效果。
画面倒计时效果是其中之一,通过简单的步骤和调整,你可以在你的视频中添加惊险刺激的倒计时效果。
基于单片机59秒计数器的设计与调试【摘要】近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。
本次做的数字秒表是以单片机(AT89C51)为核心,结合相关的元器件(共阴极 LED 数码显示器、锁存器 74HC573 等),再配以相应的软件,达到制作简易数字秒表的目的,其硬件部分难点在于元器件的选择、布局及焊接。
【关键词】:单片机 AT89C51 锁存器 LED 数码显示【Abstract】In recent years, with computers in the infiltration and the development of large-scale integrated circuits.SCM application is steadily deepening, as it has strong function, small size, low power dissipation,lowprices, reliable, easy to use features, it is particularly suited to and control of the system, increasinglywidely used in automatic control, intelligent instruments, gauges, data acquisition, military products andhousehold appliances, and other areas, is often microcontroller as a core component to use, In light of specific hardware architecture, and application-specific software features object combine to make perfect. The figures do bell on SCM (AT89C51) at the core, Combined with the components (a total of cathode LED digital display, latchor 74HC537), and factoring in the corresponding software, Easy to produce digital clock purposes, as part of the hardware components is a difficult choice, layout and welding.【Keywords】: SCMA T89C51 Total cathode LED figures display 74HC537、目录第一章简介1.1 选题背景1.1.1数字单片机的技术发展1.1.2以单片机为核心的嵌入式系统1.2 AT89C51单片机简介第二章设计方案2.1 硬件设计流程2.1.1 基本电路2.2.2 功能电路2.2 软件设计流程2.2.1 流程图2.2.2源代码程序第三章焊接调试与功能说明3.1 仿真调试3.2 系统性能测试与功能说明3.3 成果展示第四章总结4.1 课题总结4.2 结束语第五章致谢第六章参考文献第一章简介1.1选题背景随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。
课程设计设计名称:0-59秒计时器学年学期:2010-2011学年第二学期课程名称:单片机原理及应用课程设计专业年级:08级电气工程及其自动化姓名:学号:提交日期:2011年月日成绩:指导教师:00-59 秒计时器一、内容摘要0-59秒计时器系统模拟采用单片机作为主控制器,秒表是由单片机的P0口,P1口和P2口分别控制3个数码管,是数码管工作,循环显示00.0-59.0。
由于秒表计时器主要的功能有启动、暂停和清零(复位)。
秒表计时器按启动键后每一秒计数一次,当计数超过59次时返回到0从新计时;当按暂停键后系统停止计时秒表显示当前的计数次数,当再次按下启动键时在原来的计数基础上系统恢复计时;在计数过程中当按下复位键后,系统显示的秒数清零。
通常还是用石英晶体振荡器电路构成整个秒表的结构电路。
利用proteus系统仿真软件对0-59秒计时器系统进行模拟,同时用相应的编程软件对编写的系统程序进行检验,以检验设计方案和程序的准确性,快速性和实用性。
二、设计目的1)掌握51系列单片机的基本硬件结构及工作原理;2)掌握51系列单片机的汇编语言及基本程序设计方法;3)学习并掌握使用51系列单片机开发控制系统的基本步骤及方法。
4)学会利用单片机定时器中断和定时器计数方式实现秒定时。
5)通过LED显示程序的调整,熟悉单片机与LED的接口技术,熟悉LED动态显示的控制过程。
三、设计要求及功能分析该作品是基于AT89S52单片机为核心;可实现的功能:设计要求:1)实现0-59秒计时,显示时间位一秒。
2)具有开始,暂停和复位功能。
1开始计时:利用外部中断0与定时中断0进行开始功能与计功能。
2暂停计时:利用外部中断0关闭定时实现暂停功能。
3硬件复位:利用外部中断1实现定时实现清零功能。
用AT98C52单片机做一个最小系统板。
秒表的显示用三位数码管显示,秒表的秒计数和循环通过程序控制单片机的输出来显示在数码管上。
使用外部中断0、1,用于控制启动和清零。
秒表的实验报告一、试验要求:设计一个秒表,计时范围为0 59分59秒,精度为百分之一秒;能同时显示分秒信息(LED数码管)。
秒表的逻辑结构主要由、显示译码器、分频器、十进制计数器和六进制计数器组成。
在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。
秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。
二、实验目的:1、四个十进制计数器:用来分别对百分之一秒、十分之秒、秒和分进行计数;2、两个6进制计数器:用来分别对十秒何时分进行计数;3、分频率器:用来产生100Hz的计数脉冲;4、显示译码器:完成对显示译码的控制。
三、硬件要求:1、主芯片EP2C8Q208;2、6位八段扫描共阳极数码显示管;3、二个按键开关(归零,启动)。
四、实验内容及步骤:1、根据电路特点,将此设计电路分成若干模块,规定每个模块的功能和各个模块之间的接口,然后再将各个模块和起来联试。
2、了解软件各元件管理层次含义,以及模块元件之间的连接概念,对不同目录下的统一设计如何融合。
3、适配划分前后的仿真内容有何不同概念,仿真信号对象有何不同。
4、安适配划分的管脚定位,同相关功能块元件之间的连接概念。
5、所有模块用VHDL语言描述。
五、实验源代码如下:1.分频器代码:将50MHz脉冲变成100Hzlibrary ieee;use ieee.std_logic_1164.all;entity div isport(clr,clk: in bit;q: buffer bit;q1:buffer bit);end div;architecture a of div issignal counter1:integer range 0 to 24999;signal counter2:integer range 0 to 4;beginprocess(clr,clk)beginif (clk='1' and clk'event) thenif clr='0' thencounter1<=0;elsif counter1=24999 thencounter1<=0;q<= not q;elsecounter1<=counter1+1;end if;end if;end process;process(q)beginif(q'event and q='1') thenif clr='0' thencounter2<=0;elsif counter2=4 thencounter2<=0;q1<=not q1;elsecounter2<=counter2+1;end if;end if;end process;end a;2.十进制计数器代码:原理为加法计数器,计数十时由cout进位library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 isport(clr,start,clk: in bit;cout: out bit;daout: out std_logic_vector(3 downto 0));end count10;architecture a of count10 issignal temp:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif clr='0' thentemp<="0000";cout<='0';elsif (clk'event and clk='1') thenif start='0' thenif temp>="1001" thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';end if;end if;end if;daout<=temp;end process;end a;3.六进制计数器代码:原理为加法计数器,计数六时由cout进位。
电子技术课程设计报告2013年12月前言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。
本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。
当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。
由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。
目录题目摘要关键词设计要求 (3)第一章系统概述 (4)第二章单元电路与分析 (5)2.1 秒信号发生器 (5)2.1.1 选择信号发生器方案 (5)2.1.2石英晶体多谐振荡器 (7)2.1.3方案对比与选择 (9)2.1.4 555构成的多谐振荡器仿真图 (9)2.2消抖电路及其原理 (10)2.3分、秒、毫秒计数器电路设计 (10)2.3.1选择计数器的方案 (10)2.3.2 74LS160计数器功能的介绍 (11)2.3.3计数器最终连线图 (12)2.4译码器 (13)2.4.1译码器的基本原理 (13)2.4.2 74LS48显示译码器管脚图 (13)2.4.374LS48功能介绍 (13)2.5数码管 (15)2.5.1七段数码管工作原理 (15)2.5.2七段数码管内部结构介绍 (16)2.5.3显示器匹配电路图 (17)第三章系统综述 (18)3.1总电路图 (18)第四章结束语 (19)4.1课程总结 (19)4.2故障分析 (19)参考文献 (20)元件明细表 (20)鸣谢 (21)收获和体 (21)评语 (23)数字式秒表摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。
电工电子综合实验——数字计时器的设计I、设计要求一、实验目的:1、掌握常见集成电路工作原理和使用方法。
2、学会单元电路设计与组合方法。
二、实验要求:实现00分00秒~59分59秒数字计时器。
三、实验内容:1、设计实现信号源电路(f1=1Hz,f2=2Hz,f3=500Hz,f4=1KHz)。
2、设计实现00分00秒~59分59秒数字计时器(计数、译码、显示)。
3、设计实现快速校分电路(K1,2Hz,校分时秒停止,含防抖动功能)。
4、设计实现可在任意时刻复位(K2)。
5、设计实现整点报时电路(59分53秒、59分55秒、59分57秒【三低~f3】,59分59秒【一高~f4】)。
6、整体完成00分00秒~59分59秒数字计时器电路。
四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 两片(4输入与门)74LS74 一片(D触发)2、电容,电阻3、共阴极双字屏两块。
五、数字计时器逻辑框图:II、各元件引脚布局图及逻辑功能一、NE555 一片(多谐振荡):1、引脚布局图:2、逻辑功能说明:译码显示电路脉冲发生电路计时电路报时电路校分电路清零电路NE555是在电子科技行业广为应用的一种集成电路,用途十分广泛。
在本电路中,构成时钟发生器,是整个电路的核心。
其引脚布局图如图1所示。
其中引脚1为接地端,引脚2和引脚6为输入端,引脚3为输出端,引脚4为复位清零端,引脚5为调整端(通常空置或通过一个电容接地),引脚7位放电端,引脚8为电源。
3、 逻辑功能表:(引脚4 ) V i1(引脚6) V i2(引脚2) V O (引脚3) 0 ××0 1 >32Vcc >31Vcc 0 1<32Vcc<31Vcc11<32Vcc >31Vcc不变二、 CD4040 一片 (分频):1、 引脚布局图:2、 逻辑功能说明:CD4040是一种常用的12分频集成电路。
目录1 硬件电路设计 (2)1.1 方案选择 (2)1.2 系统框图 (2)1.3 电路原理图 (3)1.3.1 总电路图 (3)1.3.2 +5V电压产生电路 (3)1.3.3 10HZ脉冲产生电路 (4)1.3.4 Tˊ触发器 (4)1.4 元件清单 (5)2 芯片介绍 (5)2.1 555定时器(脉冲源) (5)2.2 芯片74LS160(计数器) (7)2.3 芯片74LS373(锁存器) (8)2.4 芯片74LS48(译码器) (9)2.5 七段数码显示管 (10)3 课程设计体会 (10)4 参考文献 (11)1硬件电路设计1.1 方案选择根据课程设计任务书的要求,我选择了变压器,555定时器,74LS160,74LS373,74LS48,D 触发器和七段数码显示管等组成整体电路框架。
此数字式秒表的总时程为2分钟,其中计数器显示为0~59.9s ,由LED 灯交替亮灭一分钟来实现总计时两分钟。
其中计时器所需的0.1S 脉冲信号源由555芯片提供。
555芯片所需的+5V 电源由变压器、整流电路、滤波电路等实现。
最后利用一个D 触发器组成T '触发器来实现LED 灯的亮灭,考虑设计要求关于开关的需要将K1置于主电路部分,K2置于锁存器控制端。
因为直接使用555芯片制成的0.1S 信号源所以不用使用分频器。
1.2 系统框图控 制 端锁存器整流 电路变压器滤波电 路三五定时 器计数器译码器数码管1.3电路原理图1.3.1总电路图1.3.2+5V电压产生电路由220V交流电压源经变压器,桥式整流电路等电路产生+5V电压源VDD。
1.3.310HZ脉冲产生电路用555定时器连接成多谢振荡器,又因为其振荡周期T=0.7(R1+2R2)C,所以可通过改变其电容C及对应R1、R2来实现形成不同周期即不同频率的脉冲。
通过计算,当C=100uf,R1=R2=4.8K时,T近似为0.1,即产生了0.1S脉冲。
一、59分59秒倒计时程序:
/*倒计时及显示程序,适用于寻迹小车实验板*/
#include<>
#define uchar unsigned char
#define uint unsigned int
uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,
0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e};//共阳七段编码
uchar temp=0;//定义定时器溢出计数变量,每隔50ms产生1次溢出,temp加1
/*uint time=5959;//定义倒计时变量,当temp计数加20(20x50ms=1s)时,time减1 */
uchar miao=59;
uchar fen=59;
sbit P0_7=P0^7 ;
/*--定时计数器T0及其中断初始化函数--*/
void timer0init(void)
{
TMOD=0x01;//设置定时器0为工作方式1
TH0=(65536-50000)/256;//16位计数初值除以256得到高8位初
值
TL0=(65536-50000)%256;//16位计数初值除以256的余数得到低8位初值
EA=1;//开总中断
ET0=1;//开定时器0中断
TR0=1;//启动定时器0
}
/*----------延时函数---------------*/
void delay(uint n)
{
uint i,j;
for(i=n;i>0;i--)
for(j=124;j>0;j--);
}
/*定时计数器中断程序,每当定时计数器溢出时触发中断,执行该程序*/
void time0() interrupt 1
{
TH0=(65536-50000)/256;//重装初值
TL0=(65536-50000)%256;
if(temp==20)
{
temp=0;
if(miao==0)
{miao=59;
if(fen==0) fen=59;
else fen--;
}
else miao--;
}
else temp++;
}
/*--------------显示函数------------*/
void display(void)
{
P1=0xfe;//输出个位的位码
P0=table[miao%10]; //输出时间个位的段码
delay(5); //亮5ms
P1=0xfd;//输出十位的位码
P0=table[miao/10]; //输出时间十位的段码
delay(5); //亮5ms
P1=0xfb;
P0=table[fen%10];
P0_7=0;
delay(5);
P1=0xf7;
P0=table[fen/10];
delay(5);
}
/*----------主函数-----------------*/
void main(void)
{
timer0init();//调用初始化函数对定时计数器进行初始化while(1)
{
display();//调用显示函数显示时间}
}
二、仿真电路及显示图:。