王宁(200810131) 高精度风速测试仪的设计
- 格式:doc
- 大小:861.00 KB
- 文档页数:16
㊀2020年㊀第12期仪表技术与传感器Instrument㊀Technique㊀and㊀Sensor2020㊀No.12㊀基金项目:河南省科技厅项目(182102210207)收稿日期:2020-06-22风力发电机的高精密风速检测系统设计李研达1,薛㊀琦2(1.安阳师范学院物理与电气工程学院,河南安阳㊀455000;2.郑州大学信息工程学院,河南郑州㊀450001)㊀㊀摘要:为了使风力发电机在运行时朝着风速最快的方向,保证运行效率,开发了一种高精密的风速检测系统㊂基于超声波检测原理,设计了包括TMS320F主控模块㊁200kHz方波驱动模块和16位高精度数据采集模块的风速检测硬件部分;软件部分以超声波为输入信号,采用时差提取程序判断风速,利用向量合成程序计算风速和风向,并进行必要修正㊂通过采集超声波回波信号来验证硬件部分性能,结果显示硬件部分能满足系统精度需要;搭建了基于风扇的风速风向试验平台验证系统性能,试验结果显示设计的风速测量系统精度为0.1m/s,风向精度为5ʎ,满足设计要求㊂关键词:风力发电;风速;嵌入式;检测系统;超声波中图分类号:TP273㊀㊀㊀文献标识码:A㊀㊀㊀文章编号:1002-1841(2020)12-0067-05DesignofHigh⁃precisionWindSpeedDetectionSystemofWindGeneratorLIYan⁃da1,XUEQi2(1.SchoolofPhysicsandElectricalEngineering,AnyangNormalUniversity,Anyang455000,China;2.SchoolofInformationEngineering,ZhengzhouUniversity,Zhengzhou450001,China)Abstract:Inordertomakethewindturbineruninthedirectionofthefastestwindspeedandensuretheoperationefficien⁃cy,ahigh⁃precisionwindspeeddetectionsystemwasdeveloped.Basedontheprincipleofultrasonicdetection,thehardwarepartofwindspeeddetectionincludingTMS320Fmaincontrolmodule,200kHzsquarewavedrivingmoduleand16bithigh⁃precisiondataacquisitionmoduleweredesigned,thesoftwarepartusedultrasonicasinputsignal,usedtimedifferenceextractionprogramtojudgewindspeed,usedvectorsynthesisprogramtocalculatewindspeedanddirection,andmadenecessarycorrection.Throughcollectingultrasonicechosignaltoverifytheperformanceofthehardwarepart,theresultsshowthatthehardwarepartcanmeettheneedsofsystemaccuracy,thewindspeedanddirectiontestplatformbasedonfanisbuilttoverifythesystemperformance.Thetestresultsshowthattheaccuracyofthedesignedwindspeedmeasurementsystemis0.1m/s,andthewinddirectionaccura⁃cyis5ʎtomeetthedesignrequirements.Keywords:windpower;windspeed;embedded;detectionsystem;ultrasonic0㊀引言在风力发电机的风速风向检测方面以机械式为主,传送带和压力传感器相结合的方式,皮带长时间使用后会磨损[1],压力传感器在低风速下薄膜振动很小,精度非常差,检测量程受限;精密风速检测系统以多普勒为原理,但原理复杂㊁造价昂贵且环境适应性差[2];超声波技术原理简单,技术可靠,无需机械配合部件,与现在信号处理方法结合后同样也可以达到较高精度㊂本文采用超声波检测原理和时差计算程序设计了高精密风速检测系统㊂1㊀超声波风速检测原理超声波风速检测原理如图1所示㊂在两维空间中,按照360ʎ空间均匀分布8个超声波传感器,超声波的传播途径受风速影响,8个传感器采集的风速转换成向量[3],最后合成一个风速矢量v,风速受温度㊁气压等影响因素不在考虑范围㊂将东西两个相对方向的速度㊁时间㊁距离建立风速检测模型:vcosθ=l2(1t1-1t2)(1)同理,以相同的原理建立南北方向的模型:vsinθ=l2(1t3-1t4)(2)式中:v为风速;θ为风速与不同方向的传感器之间的㊀㊀㊀㊀㊀68㊀InstrumentTechniqueandSensorDec.2020㊀图1㊀风速检测原理角度;t1和t2分别为能量发射后东西方向接收顺逆风信号的时间;t3和t4分别为能量发射后南北方向接收顺逆风信号的时间㊂本系统8个角度中,以东南西北4个方向的计算结果作为基准,其余4个方向计算出的结果用于校准风速㊂联立式(1)和式(2)求解出风速和角度㊂2㊀高精密风速检测的硬件系统按照功能需要设计硬件模块,DSP主控模块用于检测采集数据和计算,驱动电路为超声波传感器提供能量[4],ADC数据采集电路用来保证系统采集数据的有效性㊂2.1㊀风速检测硬件总体系统架构由于风速检测硬件安装在风力发电机上方,因此对硬件稳定性㊁环境适应性等都有较高要求,硬件系统架构如图2所示㊂主控模块作为超声波检测系统的核心,需要保证与上位机通讯的同时,同步产生8路高速方波激励脉冲信号,模块占空比等参数可调[5]㊂在主控模块采集到超声波信号后计算风速,发射通道和驱动电路产生PWM脉冲信号,最后以超声波传感器稳定输出信号为主,高速数据采集电路包括调理电路和ADC通道[6],调理电路为量程可调,ADC通道有16位数据地址,50μV的分辨率满足系统要求㊂图2㊀风速检测硬件系统2.2㊀方波驱动电路传感器产生超声波时需要实现机械能和超声波之间的转换,核心处理电路在引脚HIN和LIN上产生200kHz方波,最后将激励信号转化为超声波能量,设计电路如图3所示㊂IR2110是一种专用的高速脉冲芯片,芯片电源采用+5V电源,并连接两个电容滤除高低频率的电源噪声[7],输出部分连接到2个MOS管,输出信号和MOS管之间的匹配电阻为100Ω,输出信号同时连接10μF和0.1μF滤波电容,2个MOS管组成半桥电路并由OUT输出激励脉冲信号㊂图3㊀方波驱动电路㊀㊀由于方波脉冲频率为200kHz,选择合适的栅极电阻R493和R494对于驱动MOS来说非常重要㊂栅极电阻值太小会造成栅极驱动电压过冲,导致开关管过快导通㊂同样,电阻值过大会导致过阻尼,并延长开关管的开通时间,因此,过小或过大的栅极电阻都会影响栅极驱动的效果㊂合适的栅极电阻应该使驱动电路的品质因数(Q值)在0.5(过阻尼) 1(临界阻尼)之间㊂一般可以选择Q值为0.55即可,这样可以快速打开和关闭器件,也不会产生有害的谐振㊂驱动后的频率上下管的驱动会相互导通,信号Sign+和Sign-的具体波形如图4所示㊂图4㊀驱动信号的PWM波㊀㊀㊀㊀㊀第12期李研达等:风力发电机的高精密风速检测系统设计69㊀㊀2.3㊀高速数据采集电路发射驱动电路产生的超声波信号中含有高频噪声,高速数据采集电路需要对微弱信号进行滤波放大,再将输出传输到核心处理模块中[8],具体电路设计如图5所示,核心放大器TLC6752的频率为80MHz,逐次逼近型ADC驱动放大器的功耗为7mW㊁采样速率为100KB/s,输入信号VIN+引脚连接49.9Ω的匹配电阻[9],放大器采用负反馈放大功能增加稳定性,信号经过ADC数据采集后经由SPI数据总线传输到核心处理模块中㊂图5㊀高速数据采集电路㊀㊀在高速数据采集仪的前端设计一个放大电路,通常采用差分放大电路,由于其具有抗共模噪声能力强,失真小,信号建立通道时间短等优点㊂单通道运算放大器电路的参数最重要的是压摆率,这与输入信号及芯片带宽等都有关系,简化后的计算公式为SR=2πfmaxA/106(3)式中:SR为差分放大电路的压摆率;fmax为最大输入脉冲频率,fmax=200kHz;A为最大输入电压幅值㊂根据式(3)计算出差分放大电路的参数,统计整理如表1所示㊂表1㊀差分放大电路参数物理量单位参数0.1dB下带宽MHz20最大输入电压V2.5压摆率V/μs4.233㊀高精密风速检测的软件系统高精密风速检测系统的硬件可以保证信号的稳定性,计算风速下超声波的时差和风向风速等参数时,需要使用核心处理器的程序对数据计算处理㊂3.1㊀时差提取程序采用时差提取程序获得振荡信号的特征,具体提取算法如图6所示,首先,硬件系统开始接收信号,超声波正弦信号经过传播后变为调制振荡信号,从振荡信号中提取每2个波峰之间的差值,以最大波峰信号为特征波[10],根据2个特征波周期得出传播时间和距离,重复测量3组数据后确定有效时差特征,最后将提取的信号转化为风速㊂设置相同的检测距离,根据振荡信号的波峰数值提取出前14次的振荡波峰,结果见表2㊂图6㊀时差提取程序表2㊀多次波峰数值波峰个数第1次测量(个)第2次测量(个)第3次测量(个)1353026212913115133082912234516517522575073671069719829257117211661250813131331130191386138313881013511362137711120112081188129419389221361359052214353026㊀㊀得到波峰数值后利用线性拟合的方法计算出每个脉冲过零点时的数值,具体求解过程如图7所示,相㊀㊀㊀㊀㊀70㊀InstrumentTechniqueandSensorDec.2020㊀邻2个波峰和波谷分别为A1和A2,根据2个点的坐标得出直线的斜率为A1/(A1-A2),图例中过零点为1.8ms,零点时间加上硬件采用时间即为超声传播时间,同样的原理计算出其余数值㊂图7㊀线性补偿计算过零点图根据传播时间和速度就可以得出合成风速,合成风速的具体原理见图8,利用拟合后的风速和实际风速对比如表3所示,从拟合结果可以看出拟合的有效值与实际数据相差不大,即风速基线基本一致,但拟合后的结果数据波动更小,因此还需要乘以一定的系数校准拟合数据更好㊂图8㊀风向向量合成程序表3㊀拟合风速结果m/s风速数据类型采集风速数据拟合风速数据5平均值5.1345.121标准差0.1320.08910平均值9.6239.742标准差0.1520.14220平均值19.12319.523标准差0.1800.0993.2㊀风向向量合成程序在风向向量合成程序中,共有8个超声波通道接收信号,其中每2个平行向量为一组信号,具体风向向量合成程序如图8所示㊂在合成传感器的相对风速时,逆风状态下计算出2个合成向量为负[11],顺风状态下为正,在二维空间中将4个风速矢量合成,最后得到的向量表示为最终风向风速,合成向量长度即为实际风速,合成向量角度与风速角度一致,10次测量后求出算数平均值即可㊂3.3㊀风向角度修正在判断风速检测方向时,由于东南西北4条通道合成后的结果存在的误差比较大,经过另外4条通道校准后可以修正到检测数据与风速合成保持一致,但由于螺纹等结构配合问题,通过优化算法程序是不能修正的,因此必须通过实际测量完成修正㊂螺纹安装配合公差原理见图9,螺纹宽度为14mm,高度为1.4mm,按照标准加工时会存在ʃ0.15mm的制造公差,因此计算出角度偏差α约为ʃ0.8ʎ,此数值必须人为修正风向计算程序㊂图9㊀螺纹安装配合公差4㊀高精密风速检测的试验验证为验证高精密风速检测系统的可靠性,从硬件功能和系统功能分别验证,硬件功能采集超声波回波信号验证,系统功能采用搭建风速环境验证风速和风向的精度㊂4.1㊀超声波采集信号超声波回波信号是方波驱动模块发出后再读取进检测系统的信号,具体采集结果如图10所示㊂微弱的电路噪声等并不会影响回波波形,波形在第5个波峰达到极大值并作为特征波[12],特征波后振荡开始衰减,这跟风速不稳定有关㊂图10㊀回波信号波形为验证系统检测风速的精度,在密闭环境中测量静态下流动空气的风速,采集风速和拟合的平均风速见图11,对测量数据求平均值㊁标准差等统计在表4中,经过3次数据显示,风速的平均值最低能达到0.016m/s,因此测量分辨率至少在0.01m/s,最大标准差为0.011m/s,证明系统运行稳定,测量数据的偏离误差很小,因此仪器对风速检测可以满足高精度的要求㊂4.2㊀风扇风速测量搭建风速试验环境,用风扇测试风速检测系统,㊀㊀㊀㊀㊀第12期李研达等:风力发电机的高精密风速检测系统设计71㊀㊀图11㊀拟合效果图表4㊀零风速验证结果统计次数温度/ħ湿度/%平均值/(m㊃s-1)标准差/(m㊃s-1)121.252.20.0170.008222.460.10.0200.011319.559.80.0160.006分别验证风速和风向,测试风速时分别以低㊁中档吹向检测系统,如图12所示㊂风速检测结果具体见图13,在风扇关闭时密闭环境存在自然风,系统显示为0.2m/s的风速状态,另外2个档位分别稳定在1.7m/s和2.4m/s,风速精度可以达到0.1m/s㊂图12㊀测试方案简图图13㊀风速信号波形旋转风扇测试风向,用中档风吹向高精密风速检测系统并旋转0ʎ 120ʎ,具体结果见图14,在旋转过程中由于手持操作造成风向不稳定的状态,在60ʎ和120ʎ两种角度下短暂停留一段时间,转动圈数范围内角度误差可以控制在5ʎ内,系统启动和响应速度都可以满足要求㊂5㊀结束语本文采用超声波原理设计了风力发电机高精密风速检测系统,首先分析风速检测原理,然后对风速图14㊀风向信号波形检测硬件系统㊁发送200kHz方波的驱动模块和16位高精度数据采集模块进行设计,设计时差提取程序判断风速,风向向量合成程序计算风向,搭建基于风扇的风速风向试验平台㊂分析结果显示,在不同风速风向模式下,风速测量精度为0.1m/s,风向精度为5ʎ㊂参考文献:[1]㊀高红丽,魏霞,叶家豪,等.基于NSGA⁃II算法最优组合的风电功率预测的研究[J].水力发电,2020,46(2):114-118.[2]㊀陈金富,孙鑫,段献忠,等.基于机会约束规划的含风电场电力系统可用输电能力计算[J].中国电机工程学报,2019,39(23):6804-6814.[3]㊀傅质馨,骆阳,袁越.风电机组叶片状态监测系统构建方法研究[J].太阳能学报,2019,40(10):2939-2945.[4]㊀杜保华,王曦,范奇,等.基于风能可利用率的风电场运维水平评价方法[J].热力发电,2019,48(7):117-121.[5]㊀梁琛,王鹏,韩肖清,等.基于间歇性风速的风力发电机功率输出模型研究[J].电网技术,2017,41(5):1369-1375.[6]㊀何容,谭亚可,郭琪璇,等.基于类柔度差曲率和频率摄动的结构损伤识别[J].地震工程学报,2020,42(4):825-832.[7]㊀袁至,王维庆.转差率变化时并网双馈风力发电机之间的功率传递及谐波干扰[J].电网技术,2016,40(8):2503-2509.[8]㊀李传斌,梁俊宇,赵明,等.变桨距风力机在全风速段内的桨距角控制策略仿真研究[J].电机与控制应用,2015,42(3):56-60.[9]㊀李大冰,吉荣廷.变速恒频风力发电系统最大风能追踪优化控制[J].计算机仿真,2014,31(7):117-120.[10]㊀钟婷婷,郭永,韩巧丽,等.基于电压反馈式小型风力发电机限速发电控制系统研究[J].中国农机化学报,2014,35(2):290-293.[11]㊀辛海升,田德,陈松利,等.600W浓缩风能型风力发电机输出特性实验与研究[J].太阳能学报,2013,34(10):1720-1723.[12]㊀姚兴佳,张冠锋,王士荣,等.短路故障和风速突变情况下双馈风力发电机组模型研究[J].电气传动,2013,43(10):9-13.作者简介:李研达(1982 ),硕士,讲师,主要研究方向为电力电子技术,新能源发电㊂E⁃mail:a2985@yeah.net薛琦(1982 ),博士,讲师,主要研究方向为信号处理,光电测量㊂。
大班科学活动二十四制作简易的风速测量仪大班科学活动24:制作简易的风速测量仪在大班科学活动中,我们将学习如何制作一种简易的风速测量仪。
这个实验可以帮助我们了解风的力量以及如何测量它。
本次活动将包括以下几个步骤:材料准备、制作风速测量仪、实验过程以及结果分析。
材料准备:1. 塑料瓶:一瓶空的1.5升装水的塑料瓶。
2. 纸:一张白纸。
3. 剪刀4. 尺子5. 铅笔6. 胶带7. 风速表:如果有风速表可用,可以准备一个作为对照组。
制作风速测量仪:1. 首先,我们需要准备一个可以测量风速的项目,这个项目通过测量风吹动纸的能力来判断风的强弱。
我们将使用塑料瓶制作测量仪的外壳。
2. 使用剪刀帮助我们从塑料瓶的底部切下一个大约5厘米的开口。
确保开口足够大,以便风能够进入测量仪。
3. 将纸张剪成一个方形,并使用尺子和铅笔标记出每个边的1厘米间距。
4. 将纸张固定在塑料瓶的底部开口上,确保纸张完全平整,并且不会被风吹动。
5. 使用胶带将纸张固定在开口上,确保它的边缘完全粘贴牢固。
实验过程:1. 进入室外或开阔的地方,确保没有障碍物妨碍风的流动。
最好在一个有稳定风向的环境中进行实验。
2. 将制作好的风速测量仪拿在手中,保持竖直放置,使得纸张正面向着风的方向。
3. 观察纸张是否被风吹动,如果纸张开始晃动或者被吹动,那么风速较大。
如果纸张保持不动,那么风速较小。
4. 尝试在不同的位置和环境中测量风速,并进行记录。
结果分析:根据纸张的晃动或者保持静止的情况,我们可以初步判断风速的大小。
虽然这种方法并不像专业的风速仪器那样精确,但对于大班学生来说,它足够简易和直观。
在实验过程中我们可能会注意到,风的强度会影响纸张的晃动幅度。
风速越大,纸张晃动的幅度就越大;风速越小,纸张晃动的幅度就越小。
这是因为风对纸张施加的力量与风速成正比。
在进行实验时,我们可以将制作的风速测量仪和一个专业的风速仪器进行对照。
这可以帮助学生理解到我们自己制作的仪器虽然不如专业仪器精确,但其基本的原理和作用是一致的。
风速监测装置课程设计一、课程目标知识目标:1. 学生能够理解风速监测装置的基本工作原理和其在气象科学中的应用。
2. 学生能够掌握影响风速监测准确性的因素,如仪器放置高度、环境干扰等。
3. 学生能够描述风速数据的处理和分析方法,并了解其在天气预报和灾害预警中的作用。
技能目标:1. 学生能够运用所学知识,设计并制作一个简易的风速监测装置。
2. 学生能够通过实际操作,收集并整理风速数据,进行简单的数据分析。
3. 学生能够运用科学探究的方法,针对风速监测装置进行改进,以提升其准确性和稳定性。
情感态度价值观目标:1. 学生能够培养对气象科学的兴趣,认识到气象观测在生活中的重要性。
2. 学生能够树立环保意识,认识到风力发电等可再生能源开发对环境保护的意义。
3. 学生能够通过团队协作,培养合作精神和沟通能力,提高解决问题的自信心。
课程性质:本课程为科学实践活动,结合物理、数学和气象学等多学科知识。
学生特点:六年级学生,具有一定的科学知识基础,好奇心强,喜欢动手实践。
教学要求:注重实践与理论相结合,鼓励学生主动探究,充分调动学生的积极性,培养其创新思维和动手能力。
教学过程中,关注学生的个体差异,提供有针对性的指导。
通过课程目标的实现,使学生在知识、技能和情感态度价值观方面得到全面发展。
二、教学内容1. 风速监测装置原理:介绍风速监测装置的类型、工作原理及其在气象领域的应用,对应教材中“气象观测仪器”章节。
- 开普勒风速计原理- 风速与风力的关系2. 影响风速监测的因素:分析影响风速监测准确性的各种因素,如高度、温度、湿度等,结合教材中“气象观测误差”章节。
- 仪器放置高度对风速测量的影响- 环境因素对风速测量的干扰3. 风速数据处理与分析:讲解风速数据的收集、处理和分析方法,参考教材中“数据处理”章节。
- 风速数据的收集与整理- 风速数据分析的基本方法4. 制作简易风速监测装置:指导学生运用所学知识制作风速监测装置,结合教材中“科学实践活动”章节。
课程设计任务书
题目风速风向测试仪
学生姓名学号班级专业
承担指导任务单位指导教师
姓名
张飞雄
是否制
作实物
是
一、主要内容
设计制作风速风向测试仪:
1.风速传感器的感应元件是三杯风速组件,由三个碳纤维风杯和杯架组成。
转换器为多齿转杯和狭缝光耦。
当风杯受水平风力作用而旋转时,通过轴转杯在狭缝光耦中的转动,输出频率的信号。
2.风向传感器的变换器为码盘和光电组件。
当风标随风向变化而转动时,通过轴带动码盘在光电组件缝隙中的转动。
产生的光电信号对应当时风向的格雷码输出。
二、基本要求
1. 实现基本功能
2.完成3000字设计报告
3. 发挥部分,设计信号采集显示部分,完成信号传输。
三、主要技术指标(或研究方法)
测量范围0~70m/s 0~360°
精度±(0.3+0.03V)m/s ±6°(± 3°)
最大回转半径90 m m 365 m m
分辨率0.1 m/s 5.6°(2.8°)
起动风速≤0.5m/s ≤0.5m/s
输出形式方波6位(7位)码(或电压)
工作电压5V~12V 5V~12V
工作电流10mA 20mA (或2~3mA)
工作环境温度-60℃~50℃湿度≤100%RH 温度-60℃~50℃湿度
≤100%RH
四、应收集的资料及参考文献
三杯风速组件组成。
狭缝光耦应用
格雷码原理
计数算法单元
教研室主任签字时间年月日
注:可根据内容加页。
一种矿井通风中精确测定风速的方法
萨贤春;曹娟;王宁
【期刊名称】《工矿自动化》
【年(卷),期】2014(40)7
【摘要】针对超声波传感器接收超声波脉冲信号时由于存在起振过程,导致脉冲到达接收传感器的时间难以精确测定的问题,提出一种矿井通风中精确测定风速的方法,即通过拟合接收传感器接收到的正弦信号曲线及其包络线,计算出超声波脉冲信号到达传感器的时刻.对模拟采样数据的计算及分析结果表明,该方法能够达到较高的测时精度,从而能够保证矿井巷道测风精度.
【总页数】5页(P99-103)
【作者】萨贤春;曹娟;王宁
【作者单位】西安科技大学测绘科学与技术学院,陕西西安 710054;西安科技大学测绘科学与技术学院,陕西西安 710054;西安科技大学测绘科学与技术学院,陕西西安 710054
【正文语种】中文
【中图分类】TD635
【相关文献】
1.介绍一种新方法精确测定光速 [J], 王华荣
2.一种精确测定尖晶石型锰酸锂晶胞参数的方法 [J], 章鹏; 何涌
3.一种精确测定二氧化硅表面羟基数量的新方法 [J], 郝良鹏; 柴颂刚; 曾耀德; 李晓
冬; 邢燕侠; 曾杰
4.一种快速精确测定Tth DNA聚合酶活性的方法 [J], 陈晓雨;张建;张新亚;唐雨婷;邵钰晨;罗志丹;卢辰
5.一种精确测定芯片内部微纳几何结构的方法 [J], 刘剑霜;李伙全;陈一
因版权原因,仅展示原文概要,查看原文内容请购买。
EDA课程设计报告高精度风速测试仪的设计姓名:王宁学号:200810131班级:电子082班指导教师:吴小所成绩:目录一.设计目的 (2)二.设计原理 (2)1.方案的选择 (2)2.系统方案的设计 (3)三.实现步骤: (5)1. 分频器的设计 (5)2. 测频控制器的设计 (6)3. 频率计数器的设计 (7)①模8计数器的设计 (7)②模10计数器的设计 (8)4.锁存器的设计 (9)5.扫描显示控制译码系统的设计 (10)6.顶层原理图 (12)四.结论: (14)五.心得体会: (15)高精度风速测试仪的设计一.设计目的:1.掌握quartusII软件的基本设计流程;2.通过实际的设计掌握EDA的设计方法,并能熟练应用已学知识解决实际问题;3.掌握层次化的设计方法,并通过高精度风速测试仪的设计掌握利用EDA软件进行文本输入方式的电子线路设计的详细流程。
二.设计原理:本设计是将风速通过数据采集,然后转换成频率,再通过等精度频率计测得频率,此时测得的频率即可转换为相应的风速,因此本次设计的核心问题是通过等精度频率计来测的频率,即可知风速,因此频率计的设计成为主要的设计目标。
目前,绝大多数电子计数器都具有测量频率和测量周期两种测量功能,统称“通用计数器".各种测量功能可利用“功能选择”开关加以选择。
1.方案的选择:方案论证:方案一:直接测频法。
在确定的闸门时间内,利用计数器记录待测信号通过的周期数,从而计算出待测信号的频率。
此方案对低频信号测量的精度很低,较适合于高频信号的测量。
方案二:测量周期法。
以待测信号为门限,记录在此门限内的高频标准时钟的数量,从而计算出待测信号的频率。
但被测信号频率过高时,由于测量时间不足会存在精度不够的问题,此方案适于低频信号的测量。
方案三:等精度测频法。
其精确门限由被测信号和预制门控制共同控制,测量精度 与被测信号的频率无关,只与基准信号的频率和稳定度有关,因此可以保证在整个测量 频段内测量精度不变。
因此我们选取方案三。
2.系统方案的设计:等精度测量法这种方案能够保证计数器的工作时间恰好等于被测信号的完整周期数,这保证了信号在任何频率条件下都能保持恒定精度。
系统对信号进行计数后还需要运用单片机进行处理,才能得到其频率。
通过测量被测信号数个周期的时间,然后换算得出被测信号的频率,克服了测量精度对被测信号的依赖性,不但具有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。
该方法的核心思想是通过闸门信号与被测信号同步,将闸门时间τ控制为被测信号周期长度的整数倍。
测量时,先打开预置闸门,当检测到被测信号脉冲沿到达时,标准信号时钟开始计数。
预置闸门关闭时,标准信号并不立即停止计数,而是等检测到被测信号脉冲沿到达时才停止,完成被测信号整数个周期的测量。
测量的实际闸门时间可能会与预置闸门时间不完全相同,但最大差值不会超过被测信号的一个周期。
测量原理如图(1)所示。
图(1) 等精度数字频率计框图令实际闸门时间为τ,被测信号周期数为x N ,标准信号频率为c f ,计数值为c N ,则被测信号的频率值为:c cx x f N N f ' 在等精度测量法中,相对误差与被测信号本身的频率特性无关,即对整个测量域而 言,测量精度相等,因而称之为“等精度测量”。
标准信号的计数值c N 越大则测量相对误差越小,即提高门限时间τ和标准信号频率c f 可以提高测量精度。
在精度不变的情 况下,提高标准信号频率可以缩短门限时间,提高测量速度。
原理图如图(2)所示:图 (2) 等精度测量的原理图计数控制器将标准信号分频为预置信号,预置闸门信号与被测信号作用同步之后输出实际闸门信号,作为周期计数器和脉冲计数器的计数使能信号。
同时在实际闸门信号关断的时间里,计数控制器产生一个清数脉冲,用以清除计数器内的计数值,以备下一次计数,该清零脉冲同时还作为一次计数结束后,将计数值进行计算,译码显示的锁存信号,不然,数码管的显示将因为数值的不停跳动而无法看清楚。
综上所述,由于前两种方法其精度都与被测信号的频率有关,因而它们是非等精度测量法,这种基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,测量精度将随被测信号频率的变化而变化,在实际应用中有较大的局限性,而等精度测量法中,相对误差与被测信号本身的频率特性无关,信号在任何频率条件下都能保持恒定精度,具有较高的测量精度,并且在整个测频区域内保持恒定的测试精度,因此,本次设计选用等精度频率计数的方法。
三.实现步骤:1. 分频器的设计:分频器的功能是提供标准闸门时间控制信号以精确控制计数器的开闭。
对一个两位的二进制计数器,当输入两个脉冲时,其输出进位脉冲为1个,即入/出之比为2比1。
如此类推,对输出为2位、3位、4位、5位的二进制计数则其输入/输出为1﹕4,1﹕8,1﹕16,1﹕32……。
但十进制例外,输出虽为四位,但输入/输出比为1﹕10,这是因为,计数器到9时产生了进位。
生成的分频器模块如图(3)所示:源程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity freq_divider isport(clk_48MHz:in std_logic;clk_4Hz:out std_logic;scan:out std_logic);end freq_divider; 图(3)分频器模块a rchitecture Behavioral of freq_divider issignal m12M_counter:std_logic_vector(23 downto 0):=(others=>'0');beginclk_4Hz<=m12M_counter(23);scan<=m12M_counter(10);process(clk_48MHz)beginif clk_48MHz'event and clk_48MHz='1' thenif m12M_counter=x"b71aff" thenm12M_counter<=(others=>'0');elsem12M_counter<=m12M_counter+1;end if;end if;end process;end Behavioral;2. 测频控制器的设计:测频控制器是控制整个频率计各模块进行时序工作的控制装置,它对输入的标准时钟信号进行变换,产生我们所需要的三个信号闸门信号GATE,锁存信号LATCH以及清零信号CLEAR。
如使用一个低触发器处理1Hz的时钟信号,其Q端输出,即是脉宽1S计数器使能端的控制信号,而Q输出即为脉宽1S的锁存器使能信号。
计数清零信号也由测频控制器变换后输出,控制整个电路。
其生成的元件符号如图(4)所示:测频控制信号发生器设计要求:频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。
这就要求Control的计数使能信号Gate能产生一个周期信号,并对频率计的每一计数器Counter6的Carry_in使能端进行同步控制。
当Gate为高电平时,允许计数,为低电平时停止计数,并保持其所计的脉冲数。
在停止计数期间,首先需要一个锁存信号latch的上跳沿将计数器在前1秒钟的计数值锁存进24位锁存器Latch中,并由外部的7段译码器译出,并稳定显示。
设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。
锁存信号之后,必须有一清零信号Reset对计数器进行清零,为下1秒钟的计数操作准备。
源程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity controller isport(clk_4Hz:in std_logic;clear:out std_logic;gate:out std_logic;latch:out std_logic);end controller; 图(4)测频控制器模块architecture Behavioral of controller issignal m8_counter:std_logic_vector(2 downto 0):="000";beginprocess(clk_4Hz)beginif rising_edge(clk_4Hz) thenm8_counter<=m8_counter+1;end if;end process;process(m8_counter)begincase(m8_counter) iswhen "000"=>clear<='0';gate<='1';latch<='0';when "001"=>clear<='1';gate<='1';latch<='0';when "010"=>clear<='1';gate<='0';latch<='0';when "011"=>clear<='1';gate<='0';latch<='0';when "100"=>clear<='1';gate<='0';latch<='0';when "101"=>clear<='1';gate<='0';latch<='0';when "110"=>clear<='1';gate<='1';latch<='0';when "111"=>clear<='1';gate<='1';latch<='1';when others=>clear<='1';gate<='1';latch<='0';end case;end process;end Behavioral;如果闸门信号Gate的频率取1Hz,那么信号Gate的脉宽恰好为1S,可以用作计数闸门信号。