电子系统设计温度控制系统实验报告
- 格式:doc
- 大小:153.50 KB
- 文档页数:7
桂林航院电子工程系单片机课程设计与制作说明书设计题目:DS18B20数字温度计的设计专业:通信技术班级:学号:姓名:指导教师:2012年 6 月 28 日桂林航天工业学院单片机课程设计与制作成绩评定表单片机课程设计与制作任务书专业:通信技术学号: 2 姓名:一、设计题目:DS18B20数字温度计的设计二、设计要求:1.要求采集温度精确到度。
2.显示测量温度三、设计内容:硬件设计、软件设计及样品制作四、设计成果形式:1、设计说明书一份(不少于4000字);2、样品一套。
五.完成期限: 2010 年月日指导教师:贾磊磊年月日教研室:年月日目录一摘要 (1)设计要求 (1)二理论设计 (2)硬件电路计 (2)2.1.1芯片介绍 (2)2.1.2 DS18B20简介 (7)设计方案 (9)2.2.1.显示方案 (9)2.2.2.系统硬件电路设计 (11)2.2.3软件设计流程及描述 (11)三.系统的调试 (13).硬件的调试 (13)实验结果 (19)四、设计注意事项 (19)点阵设计注意事项 (20)单片机注意事项 (16)仿真器使用注意事项 (16)五.设计心得体会 (17)总结与体会 (17)摘要在工业生产中,电流、电压、温度、压力、流量、流速和开关量都是常用的主要被控参数。
其中,温度控制也越来越重要。
在工业生产的很多领域中,人们都需要对各类加热炉、热处理炉、反应炉和锅炉中的温度进行检测和控制。
采用单片机对温度进行控制不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高被控温度的技术指标,从而能够大大的提高产品的质量和数量。
因此,单片机对温度的控制问题是一个工业生产中经常会遇到的控制问题。
单片机是一种集CPU、RAM、ROM、I/O接口和中断系统等部分于一体的器件,只需要外加电源和晶振就可实现对数字信息的处理和控制。
因此,单片机广泛用于现代工业控制中。
本论文侧重介绍“单片机温度控制系统”的软件设计及相关内容。
一、实验目的1. 熟悉温度监测系统的基本组成和原理。
2. 掌握温度传感器的应用和数据处理方法。
3. 学会搭建简单的温度监测系统,并验证其功能。
二、实验原理温度监测系统主要由温度传感器、数据采集器、控制器、显示屏和报警装置等组成。
温度传感器将温度信号转换为电信号,数据采集器对电信号进行采集和处理,控制器根据设定的温度范围进行控制,显示屏显示温度信息,报警装置在温度超出设定范围时发出警报。
本实验采用DS18B20数字温度传感器,该传感器具有体积小、精度高、抗干扰能力强等特点。
数据采集器采用单片机(如STC89C52)作为核心控制器,通过并行接口读取温度传感器输出的数字信号,并进行相应的处理。
三、实验器材1. DS18B20数字温度传感器2. STC89C52单片机3. LCD显示屏4. 电阻、电容等电子元件5. 电源模块6. 连接线四、实验步骤1. 搭建温度监测系统电路,包括温度传感器、单片机、显示屏、报警装置等。
2. 编写程序,实现以下功能:(1)初始化单片机系统;(2)读取温度传感器数据;(3)将温度数据转换为摄氏度;(4)显示温度数据;(5)判断温度是否超出设定范围,若超出则触发报警。
3. 连接电源,启动系统,观察温度数据变化和报警情况。
五、实验结果与分析1. 系统搭建成功,能够稳定运行,实时显示温度数据。
2. 温度数据转换准确,显示清晰。
3. 当温度超出设定范围时,系统能够及时触发报警。
六、实验总结1. 本实验成功地搭建了一个简单的温度监测系统,实现了温度数据的采集、处理和显示。
2. 通过实验,加深了对温度传感器、单片机、显示屏等电子元件的理解和应用。
3. 实验过程中,学会了如何编写程序,实现温度数据的处理和显示。
七、实验建议1. 在实验过程中,注意电路连接的准确性,避免因连接错误导致实验失败。
2. 在编写程序时,注意代码的简洁性和可读性,便于后续修改和维护。
3. 可以尝试将温度监测系统与其他功能结合,如数据存储、远程传输等,提高系统的实用性和功能。
北京电子科技学院课程设计报告( 2010 – 2011年度第一学期)名称:模拟电子技术课程设计题目:温度测量控制系统的设计与制作学号:学生姓名:指导教师:成绩:日期:2010年11月17日目录一、电子技术课程设计的目的与要求 (3)二、课程设计名称及设计要求 (3)三、总体设计思想 (3)四、系统框图及简要说明 (4)五、单元电路设计(原理、芯片、参数计算等) (4)六、总体电路 (5)七、仿真结果 (8)八、实测结果分析 (9)九、心得体会 (9)附录I:元器件清单 (11)附录II:multisim仿真图 (11)附录III:参考文献 (11)一、电子技术课程设计的目的与要求(一)电子技术课程设计的目的课程设计作为模拟电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握电子系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。
按照本专业培养方案要求,在学完专业基础课模拟电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型电子系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。
通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
(二)电子技术课程设计的要求1.教学基本要求要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。
教师应事先准备好课程设计任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。
2.能力培养要求(1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。
(2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。
(3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。
实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
课程实训报告《单片机技术开发》专业:机电一体化技术班级: 104201学号: 10420134姓名:杨泽润浙江交通职业技术学院机电学院2012年5月29日目录一、DS18B20温度测量与控制实验目的……………………二、DS18B20温度测量与控制实验说明……………………三、DS18B20温度测量与控制实验框图与步骤……………………四、DS18B20温度测量与控制实验清单……………………五、DS18B20温度测量与控制实验原理图…………………六、DS18B20温度测量与控制实验实训小结………………一、实验目的1.了解单总线器件的编程方法。
2.了解温度测量的原理,掌握 DS18B20 的使用。
二、实验说明本实验系统采用的温度传感器DS18B20是美国DALLAS公司推出的增强型单总线数字温度传感器。
Dallas 半导体公司的数字化温度传感器DS1820是世界上第一片支持“一线总线”接口的温度传感器。
现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。
适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。
与前一代产品不同,新的产品支持3V~5.5V的电压范围,使系统设计更灵活、方便。
DS18B20测量温度范围为-55°C~+125°C,在-10~+85°C范围内,精度为±0.5°C。
DS18B20可以程序设定9~12位的分辨率,及用户设定的报警温度存储在EEPROM中,掉电后依然保存。
DS18B20 内部结构DS18B20 内部结构主要由四部分组成:64 位光刻 ROM、温度传感器、非挥发的温度报警触发器 TH 和 TL、配置寄存器。
DS18B20 的管脚排列如下: DQ 为数字信号输入/输出端;GND 为电源地;VDD 为外接供电电源输入端(在寄生电源接线方式时接地)。
光刻 ROM 中的 64 位序列号是出厂前被光刻好的,它可以看作是该DS18B20 的地址序列码。
电子系统设计实验报告电子系统设计实验报告引言:电子系统设计是现代科技领域中非常重要的一部分,它涉及到电子元件、电路设计、信号处理等多个方面的知识。
本次实验旨在通过设计一个简单的电子系统来加深对电子系统设计的理解和掌握。
实验目的:本次实验的目的是设计一个基于Arduino的温度监测系统。
通过该系统,能够实时监测环境温度并将数据显示在LCD屏幕上。
实验器材:1. Arduino开发板2. 温度传感器3. LCD显示屏4. 连接线等实验步骤:1. 首先,将温度传感器与Arduino开发板连接。
将传感器的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将信号引脚连接到Arduino的A0引脚。
2. 接下来,连接LCD显示屏。
将显示屏的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将SDA引脚连接到A4引脚,SCL引脚连接到A5引脚。
3. 在Arduino开发环境中编写代码。
首先,需要包含所需的库文件,如LiquidCrystal_I2C库和Wire库。
然后,定义温度传感器引脚和LCD显示屏的相关参数。
接着,在setup函数中初始化LCD显示屏,并设置显示屏的列数和行数。
在loop函数中,通过调用温度传感器库函数获取环境温度,并将其显示在LCD屏幕上。
4. 将Arduino开发板与电脑连接,并上传代码到开发板上。
5. 实验完成后,观察LCD屏幕上的温度显示,确保温度监测系统正常工作。
实验结果:经过实验,我们成功设计并实现了一个基于Arduino的温度监测系统。
该系统能够准确地测量环境温度,并将数据实时显示在LCD屏幕上。
通过该系统,我们可以方便地监测环境温度的变化。
实验总结:通过本次实验,我们对电子系统设计有了更深入的了解。
我们学会了如何使用Arduino开发板和相关传感器进行电子系统的设计。
同时,我们也掌握了如何编写代码并将其上传到开发板上。
这些技能对于今后从事电子系统设计工作将非常有帮助。
控制工程基础实验报告控制工程基础实验报告引言:控制工程是一门涉及自动化、电子、计算机等多个学科的交叉学科,其实验是培养学生动手能力和实践能力的重要环节。
本篇文章将以控制工程基础实验为主题,探讨实验的目的、过程和结果等方面。
实验目的:控制工程基础实验的目的是让学生通过实践了解控制系统的基本原理和方法,培养其分析和解决问题的能力。
通过实验,学生可以掌握闭环控制系统的设计与调试技巧,加深对控制理论的理解。
实验内容:本次实验的内容是设计一个简单的温度控制系统。
系统由温度传感器、控制器和加热器组成。
温度传感器采集环境温度,控制器根据设定的温度值来控制加热器的工作状态,以维持温度在设定值附近。
实验步骤:1. 搭建实验平台:将温度传感器、控制器和加热器按照实验要求连接起来,确保电路正常工作。
2. 设计控制算法:根据控制系统的要求,设计合适的控制算法。
可以采用比例控制、积分控制或者PID控制等方法。
3. 参数调试:根据实验平台和控制算法的特点,调试控制器的参数,使系统能够快速、稳定地响应设定值的变化。
4. 实验数据采集:通过实验平台上的数据采集器,记录系统的输入和输出数据,以便后续分析和评估。
实验结果:经过实验,我们得到了一组温度控制系统的数据。
通过对这些数据的分析,我们可以评估系统的控制性能和稳定性。
在实验中,我们使用PID控制算法,经过参数调试,得到了较好的控制效果。
系统能够在设定值附近稳定工作,并且对设定值的变化能够快速响应。
实验总结:通过这次实验,我们深入了解了控制工程的基本原理和方法。
实践中遇到的问题和挑战,锻炼了我们的动手能力和解决问题的能力。
实验结果表明,合适的控制算法和参数调试是实现良好控制效果的关键。
控制工程实验的重要性不言而喻,它不仅是理论学习的延伸,更是培养学生实践能力的重要途径。
结语:控制工程基础实验是掌握控制工程理论和方法的重要环节。
通过实践,学生能够更好地理解和应用所学知识,提高解决实际问题的能力。
重庆邮电大学移通学院课程设计实验报告课程:_单片机原理与应用系别: _ 电子信息工程系专业:___ _ 通信工程班级:_____ 01110812___第_______组学生:姓名___ __ 学号_____姓名____ 学号_____姓名_____ 学号_____成绩: ____ ___ __填表时间:2011 年11 月温度监控系统设计一、功能要求1、温度监控范围0C °~100C °。
2、实时显示当前温度。
四位有效数,保留小数点一位。
3、当温度超限时,系统告警。
4、当温度超限时,能够控制启动设备升温或降温。
上述1-3为基本部分,4为发挥部分,选做。
二、系统分析及构成根据上述功能要求,系统构成做如下几个方面的分析:⏹ 根据温度监控范围,选择一款合适的温度传感器对温度进行测量。
⏹ 系统可采用LED 七段数码管作为显示器,显示温度及参数等。
⏹ 告警可采用指示灯或者蜂鸣器。
⏹ 系统可以控制电风扇、电炉等设备来调节温度。
⏹ 系统采用单片机控制与管理。
因此,系统可以由下述如图1示的电路模块构成。
图2.1、系统构成单片机根据传感器提供的被测环境温度值,实时显示当前温度。
并判断是否超过温度的警戒线,如果超出警戒线,单片机控制告警设备告警。
同时启动相关设备调节温度。
按键是用户界面,用于查阅与修改警戒线的上下极限值。
下面根据系统结构,将对系统进行更深入分析。
1、温度传感器⑴、DS18B20产品的特点:①、一个I/O 端口实现与主机通信。
②、在DS18B20中的每个器件上都有独一无二的序列号。
③、实际应用中不需要外部任何元器件即可实现测温。
④、测量温度范围在-55°C 到+125°C 之间。
⑤、数字温度计的分辨率用户可以从9位到12位选择。
⑥、内部有温度上、下限告警设置。
⑵、DS18B20的引脚及封装DS18B20采用TO -92封装,像一只三极管。
其引脚排列见图,其引脚功能描述见表2.1。
实验一温度控制系统(一)一、实验目的1、了解温度控制系统的组成环节和各环节的作用。
2、观察比例、积分、微分控制规律的作用,并比较其余差及稳定性。
3、观察放大倍数P、积分时间I、微分时间dt对控制系统(闭环特性)控制品质的影响。
二、温度控制系统的组成电动温度控制系统是过程控制系统中常见的一种,其作用是通过一套自动控制装置,见图1,使炉温自动维持在给定值。
图1 温度控制系统炉温的变化由热电偶测量,并通过电动温度变送器转化为标准信号4~20mA直流电流信号,传送到电子电位差计进行记录,同时传送给电动控制器,控制器按偏差的大小、方向,通过预定控制规律的运算后,输出4~20mA直流电流信号给可控硅电压调整器,通过控制可控硅的导通角,以调节加到电炉(电烙铁)电热元件上的交流电压,消除由于干扰产生的炉温变化,稳定炉温,实现自动控制。
三、实验内容1、在相同扰动作用下,作出两条不同比例度的纯比例温度控制动态曲线,综合分析比例度对控制系统的影响。
2、在相同扰动作用下,作出两条相同比例度不同积分时间的比例积分温度控制动态曲线,分析积分时间对控制系统的影响3、作出比例积分微分温度控制动态曲线,综合分析微分时间对控制系统的影响。
4、观察小比例度时的温度两只动态曲线,综合分析原因。
四、实验步骤1、观察系统各环节的结构、型号、电路的连接,熟悉可控硅电压调整器和电动控制器上各开关、旋钮的作用。
2、控制系统闭环特性的测定:在以下实验中使用的P1 ,P2 ,I1,I2 ,dt1,Cr1的具体数值由各套实验装置具体提供。
(1)考察比例作用将δ置于某值P1 ,积分时间置最大(I=999),微分时间dt置于提供值不变,Cr1置于7,将干扰开关从“短”切向“干扰”,产生一个阶跃干扰(此时为反向干扰),同时在记录仪的记录线上作一记号,以记录阶跃干扰加入的时刻,观察并记录在纯比例作用下达到稳定的时间及余差大小。
(2)考察积分作用保持P=P1不变,置I=I1,同时在记录仪的记录线上作一记号,以记录积分作用加入的时刻,注意观察积分作用如何消除余差,直到过程基本稳定。
温度测量数显仪的设计实验目的基于ICL7107,设计一个温度测量数显仪。
实验方案电路由稳压电路、温度采集、电阻/电压转换器、控制电路和显示电路组成。
其中,温度采集传感器采用热敏电阻铂Pt100,A/D转换器用ICL7107。
实验元件ICL7107 A/D转换电路,LM324 放大器,NE555 集成电路,L ED数码管,电位器,极性电容,电阻、电容若干。
芯片介绍ICL7107ICL7107是高性能、低功耗的三位半A/D转换器电路。
它包括七段译码器、显示驱动器、参考源和时钟电路。
ICL7107可以直接驱动发光二极管(LED)。
ICL7107 将高精度、通用性和真正低成本很好地结合在一起,它有低于10μV 的自动校零功能,零源小于1μV/°C ,低于10pA的输入电流,极性转换误差小于一个字。
真正的差动输入和差动参考源在各系统中都很有用。
在用于测量负载单元、压力规管和其他桥式传感器时会有更加突出的优点。
另外,只要用十个左右的无源元件和一个LCD屏就可以与ICL7107构成一个高性能的仪表面板,实现了低成本和单电源工作。
各引脚功能V+和V-分别为电源的正极和负极,Oscl-OSc3 :时钟振荡器的引出端,外接阻容或石英晶体组成的振荡器。
第38脚至第40脚电容量的选择是根据下列公式来决定:Fosl = 0.45/RCCOM :模拟信号公共端,简称“模拟地”,使用时一般与输入信号的负端以及基准电压的负极相连。
TEST :测试端,该端经过500欧姆电阻接至逻辑电路的公共地,故也称“逻辑地”或“数字地”。
VREF+ VREF- :基准电压正负端。
CREF:外接基准电容端。
INT:27是一个积分电容器,必须选择温度系数小不致使积分器的输入电压产生漂移现象的元件IN+和IN- :模拟量输入端,分别接输入信号的正端和负端。
AZ:积分器和比较器的反向输入端,接自动调零电容CAz 。
如果应用在200mV满刻度的场合是使用0.47μF,而2V满刻度是0.047μF。
实验三十四 温度控制系统的开环控制和闭环控制(自动控制理论—检测技术综合实验)一、 实验原理1.温度控制问题温度是一个极易受环境、负载变化而变化的物理量。
温度控制应用很广,从温室的温度、冶炼时的炉温、化工产品生产制造工艺过程对恒温的需要,到家用电器的温度控制(如电磁炉温度控制)、等等,都需保持温度为恒定值,或按照一定规律变化。
扰动导致的输出(温度)偏离希望值可以通过闭环控制得到抑制。
温度控制系统除了受到负载扰动(如电加热炉的水温控制中,热水因供水需要不断减少和不断补充加入的冷水)的影响外,与其它物理量(如转速、电压、电流等)的控制不同的是,被控的温度容易受到环境温度的影响;此外,温度控制对象(如电炉)具有滞后的特性,即除了一般系统的惯性)1(1+Ts 外,还有一个明显滞后的环节,构成了具有滞后特性的一阶(或二阶)环节:s e τ−1)(+=−Ts e K s G sp τ (34-1) 其中τ远大于T 。
由开环系统的Nyquist 图分析可知,当被控对象不存在滞后特性,即控制系统的开环传递函数为)1()(+=Ts K s G p 时,其Nyquist 图(图34-1)不包围(-1,j0)点,无论增益K 为多大,对应的闭环系统总是稳定的。
而对象具有滞后特性(式(34-1))时,对应的Nyquist 图如图34-2,由于纯滞后环节的相频特性加上τωτωj e j −=∠−)1(+Ts K 的滞后相频特性,相位比仅有)1(+Ts K 环节时更加滞后,Nyquist 图与负实轴有无穷多个穿越点。
当增益K 增大到一定程度时,Nyquist 图顺时针包围(-1,j0)点,系统不稳定。
图34-2 具有滞后特性的惯性环节的Nyquist 图Re Im 图34-1 惯性环节的Nyquist 图因此,温度的控制控制,不能简单地采用普通的PI 控制,或PID 控制,或其它的超前-迟后控制。
从闭环特征方程0)()(1=+s G s G p c 上看,特征方程所对应的相位延迟很大;而控制器(校正环节)的传递函数∏∏==−−=1111)()()(n i ic m j j c c c p s zs K s G (34-2) 中,校正环节中的PI 控制特性或校正网络极点仍具有迟后特性,会导致系统的不稳定性更严重;而其中的超前环节(零点)相对于滞后环节而言时间常数太短(电子元件构成的校正环节不可能产生足以补偿温度对象这样的纯滞后环节的时间常数),因此对系统存在的不稳定性无任何改善作用。
实验三十四 温度控制系统的开环控制和闭环控制(自动控制理论—检测技术综合实验)一、 实验原理1.温度控制问题温度是一个极易受环境、负载变化而变化的物理量。
温度控制应用很广,从温室的温度、冶炼时的炉温、化工产品生产制造工艺过程对恒温的需要,到家用电器的温度控制(如电磁炉温度控制)、等等,都需保持温度为恒定值,或按照一定规律变化。
扰动导致的输出(温度)偏离希望值可以通过闭环控制得到抑制。
温度控制系统除了受到负载扰动(如电加热炉的水温控制中,热水因供水需要不断减少和不断补充加入的冷水)的影响外,与其它物理量(如转速、电压、电流等)的控制不同的是,被控的温度容易受到环境温度的影响;此外,温度控制对象(如电炉)具有滞后的特性,即除了一般系统的惯性)1(1+Ts 外,还有一个明显滞后的环节,构成了具有滞后特性的一阶(或二阶)环节:s e τ−1)(+=−Ts e K s G sp τ (34-1) 其中τ远大于T 。
由开环系统的Nyquist 图分析可知,当被控对象不存在滞后特性,即控制系统的开环传递函数为)1()(+=Ts K s G p 时,其Nyquist 图(图34-1)不包围(-1,j0)点,无论增益K 为多大,对应的闭环系统总是稳定的。
而对象具有滞后特性(式(34-1))时,对应的Nyquist 图如图34-2,由于纯滞后环节的相频特性加上τωτωj e j −=∠−)1(+Ts K 的滞后相频特性,相位比仅有)1(+Ts K 环节时更加滞后,Nyquist 图与负实轴有无穷多个穿越点。
当增益K 增大到一定程度时,Nyquist 图顺时针包围(-1,j0)点,系统不稳定。
图34-2 具有滞后特性的惯性环节的Nyquist 图Re Im 图34-1 惯性环节的Nyquist 图因此,温度的控制控制,不能简单地采用普通的PI 控制,或PID 控制,或其它的超前-迟后控制。
从闭环特征方程0)()(1=+s G s G p c 上看,特征方程所对应的相位延迟很大;而控制器(校正环节)的传递函数∏∏==−−=1111)()()(n i ic m j j c c c p s zs K s G (34-2) 中,校正环节中的PI 控制特性或校正网络极点仍具有迟后特性,会导致系统的不稳定性更严重;而其中的超前环节(零点)相对于滞后环节而言时间常数太短(电子元件构成的校正环节不可能产生足以补偿温度对象这样的纯滞后环节的时间常数),因此对系统存在的不稳定性无任何改善作用。
电子系统设计实验报告温度控制系统的设计姓名:***班级:信息21学校:西安交通大学一、问题重述本次试验采用电桥电路、仪表放大器、AD转化器、单片机、控制通断继电器和烧水杯,实现了温度控制系统的控制,达到的设计要求。
设计制作要求如下:1、要求能够测量的温度范围是环境温度到100o C。
2、以数字温度表为准,要求测量的温度偏差最大为±1o C。
3、能够对水杯中水温进行控制,控制的温度偏差最大为±2o C,即温度波动不得超过2o C,测量的精度要高于控制的精度。
4、控制对象为400W的电热杯。
5、执行器件为继电器,通过继电器的通断来进行温度的控制。
6、测温元件为铂热电阻Pt100传感器。
7、设计电路以及使用单片机学习板编程实现这些要求,并能通过键盘置入预期温度,通过LCD显示出当前温度。
二、方案论证1、关于R/V转化的方案选择方案一是采用单恒流源或镜像恒流源方式,但是由于恒流源的电路较复杂,且受电路电阻影响较大,使输出电压不稳定。
方案二是采用电桥方式,由电阻变化引起电桥电压差的变化,电路结构简单,且易实现。
2、关于放大器的方案选择方案一是采用减法器电路,但是会导致放大器的输入电阻对电桥有影响,不利于电路的调节。
方案二是采用仪表放大器电路,由于仪表放大器内部的对称,使电路影响较小,调整放大倍数使温度从0到100度,对应的电压为0-5V。
三、电路的设计1、电桥电路通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。
通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。
本次实验中:R1=R2=10KΩ,R3为500Ω的变阻器。
2、仪表放大器合理选择R1、 R2 、R3、 R4、 R5、 Rf,调节Rg可以实现放大倍数可变的电压差分放大。
令R3=R4=R5=Rf,R1=R2,输出端Vo与输入电压差值关系为 Vo= Rf/R3(2R1/Rg+1)△Vin。
本实验中:Rf=R3=R4=R5=10KΩ,R1=R2=10KΩ,Rg为500Ω的变阻器,这个电路放大倍数大概为128倍左右。
3、TLC1549(10位)模拟数字转换器(A/D)10位分辨率A/D转换器,其引脚图如下:TLC1549器件有两个数字输入和一个3态输出、片选(CS ),输入输出时钟( I/O时钟)和数据输出(数据)的提供三线接口,串口主机处理器。
管脚说明:ANALOG IN(2):模拟信号输入。
外部驱动源的模拟,应该有一个十毫安电流能力。
CS(5):芯片选择。
高向低过渡的重置内部计数器和控制,使数据和I/O时钟内最大的一个设置时间加上两个属于边缘内部系统时钟。
低到高过渡禁用I/O 时钟设置时间内下降的边缘加两个的内部系统时钟。
DATA OUT(6):这3态串行输出的A/D转换结果是在高阻抗状态时,以有效的芯片选择,数据是从高阻抗状态,并动相应的逻辑电平的最高有效位先前的转换结果。
下一个下降沿的I/O 时钟驱动器DATAOUT的逻辑水平相应的下一个最重要的一点,其余位转移,以便与LSB的出现在第九个下降沿的 I/O时钟。
十下降沿的I/O时钟,数据驱动低逻辑电平的串行接口,使数据传输的超过10个时钟产生的未使用的零LSBs。
GND(4):接地I/O CLOCK(7):输入/输出时钟。
I/O时钟接收串行I/O时钟输入和执行下列三个功能:①在第三个下降沿的I/O时钟,模拟输入电压开始充电电容阵列和继续这样做,直到第十下降沿的I/O时钟。
②其余九位前转换数据上的数据。
③转让控制转换的内部状态控制器的下降沿十时钟。
REF+(1):上参考电压值(标称虚拟通道连接)适用于参考+。
最大输入电压范围为所确定的差别电压适用于参考+和电压适用于参考-。
REF–(3):较低的基准电压值(标称地面)适用于参考-。
VCC (8):正电源电压4、单片机中的1602液晶显示器其引脚图如下:1602采用标准的16脚接口,其中:第1脚:VSS为地电源。
第2脚:VDD接5V正电源。
第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度。
第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。
第5脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。
当RS 和RW共同为低电平时可以写入指令或者显示地址,当RS为低电平RW为高电平时可以读忙信号,当RS为高电平RW为低电平时可以写入数据。
第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。
第7~14脚:D0~D7为8位双向数据线。
第15~16脚:空脚。
1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。
1602液晶模块内部的控制器共有11条控制指令,如下表所示。
它的读写操作、屏幕和光标的操作都是通过指令编程来实现的。
(说明:1为高电平、0为低电平)5、继电器驱动电路、继电器电路、烧水壶利用单片机输出的控制信号(高低电平),通过继电器驱动电路,控制继电器的通断时间比例,从而控制烧水的温度。
四、测试方案与测试结果1、实验所需器件表电阻:10K /0.5w 8个LM324四运放集成芯片1个102电位器2个Pt100铂热电阻1个数字温度计1个51单片机文具盒1个继电器驱动电路1个继电器电路1个烧水杯1个螺丝刀1把万用表1个示波器1台导线若干2、水温控制测量调试方法通过铂热电阻Pt100 将温度变化转换为电阻值的变化,再通过电桥间温度变化转换为电压变化,之后通过仪表放大器将电压放大一定的倍数(128倍左右),使输出电压在0-5 V,将输出电压送入A/D转换器(TLC1549)转换为数字信号(10位二进制数)送入单片机,单片机对数字信号进行处理并将其输出至液晶显示屏(1602LCD)上显示。
为控制水温使之稳定,我们引用了PID控制算法,通过PID值控制继电器的占空比,继电器连接着电热杯的开关,所以可以使温度稳定在设定值。
(1)PID控制算法:在测出目前水温的前提下,采用PID控制算法,即比例微分积分控制算法,将测得的水温与设定的温度值做差,利用温差做PID算法,产生控制信号,控制水的温度。
增量式PID算法如下:控制信号u=Kp*E(k)+Ki*[E(k)+E(k-1)+……+E(1)]+Kd*[E(k)-E(k-1)]控制信号增量△u=Kp*[E(k)-E(k-1)]+Ki*E(k)+Kd*[E(k)-2E(k-1)+E(k-2)] 利用控制信号的增量不断修改控制信号,实现对温度的控制。
这种增量式算法相比位置式算法,没有积分项的长叠加,避免了随着控制时间变长导致的计算时间增加的问题出现。
(2)关于AD转化的数据处理由于A/D转换送进来的信号是一个10位的二进制数(0-1023)代表一个温度为0 o C -100 o C的温度,为了方便数据的处理,我们使0对应0 o C,1000对应100o C,所以只需要对信号除以10即可得到温度值,由于液晶显示的时候只能一位位显示,所以将信号的百、十、个位分别取出来放入数组中,方便液晶显示输出。
(3)继电器控制水温的方法PID算法的输出值这里设定为一个0-100的数字,不超过最大值100,超过使其等于100,然后利用单片机内部的定时器控制单片机的一个端口的通断占空比,PID的控制量值越大,端口通的时间越长。
将此端口与一个继电器相连,控制继电器的开断,继电器连接在烧水壶的电源线上,继电器的开端比决定了烧水壶的通断电时间比,从而控制了烧水壶的烧水功率。
实现了对水温的控制。
(4)零度和满度校准的问题实验中我们采用24度室温和65度高温两个温度下校准,低温时调整电桥的电位器,高温时调整放大器的电位器,在数字测温计示数稳定的前提下,将单片机的目前温度与数字测温计读数调为一致,反复调整几次,即可达到最佳状态。
3、测试结果分析在单片机键盘输入设定温度65度,在单片机控制下烧水杯开始烧水,当单片机的实测温度低于设定温度大约5度左右,即60度左右,继电器开始通断,并且随着实测温度的升高,继电器的通断比越来越小,最终温度稳定在设定温度65度左右,达到的实验要求。
五、结束语通过本次实验,我对控制系统有了更加真实的体会,了解到要控制一个系统,并且达到一定的精度要求,要充分考虑到多个方面的影响因素,了解现有器材的缺陷,尽可能利用较小误差的测量方法。
另外,通过本次试验对于单片机液晶屏显示的应用,我也更加的熟悉单片机的原理和编程方法。
最后,感谢老师的辛勤指导,我也深刻体会到自己通过理论与实际的结合,学到不少实际设计中的知识,但是也深刻感受到自己的不足,今后仍需努力。