实验内容及原理图
- 格式:docx
- 大小:2.05 MB
- 文档页数:27
4 位加法器原理图设计一、实验目的1、进一步掌握 Quartus Ⅱ原理图输入设计法。
2、通过4位加法器的设计,掌握原理图输入法中的层次化设计。
二、实验原理图1 半加器原理图图2 1位全加器原理图图3 4 位加法器原理图4 位加法器(如图3)是以 1 位全加器作为基本硬件,由 4 个 1 位全加器串行构成, 1位全加器又可以由两个1位的半加器和一个或门连接而成(如图2),而1位半加器可以由若干门电路组成(如图1)。
三、实验内容本次实验使用 Altera FPGA 的开发工具 Quartus Ⅱ,利用原理图输入设计方法设计一个 4位加法器。
四、实验步骤1、打开QUARTUS II软件,新建一个工程adder4bit。
2、建完工程之后,再新建一个Block Diagram/Schematic File。
在原理图编辑窗口绘制如图1的半加器原理图。
点击 File ->Save,将已设计好的图文件取名为:h_adder,并存在此目录内。
3、编译。
如果发现有错,排除错误后再次编译。
直到编译通过就可以进行波形仿真了。
4、时序仿真。
建立波形文件,设置波形参量,再保存(注意: QuartusⅡ在波形仿真时,只支持一个与工程名同名的波形文件,所以在对多个文件进行波形仿真时,对波形文件都取工程名进行保存,后缀名为.vwf;若确实想保留多个波形文件,则可以分别命名,想对哪个波形文件进行仿真时,点击Processing->simulationTool,在Simulation input中输入待仿真的波形文件即可,如图4所示) ,最后运行波形仿真。
观察分析波形。
然后将半加器 h_adder 封入库生成半加器元件了,为后续生成 1 位全加器做准备。
图4 仿真结果5、封装入库。
封将仿真调试好的半加器封装入库。
打开 h_adder.bdf文件,在File->Create/update 如图5所示。
图5 元件封装入库6、全加器原理图设计。
实验四传热实验、实验目的(1) 了解间壁式传热元件,掌握给热系数测定的实验方法。
(2) 学会给热系数测定的实验数据处理方法。
(3) 观察水蒸气在水平管外壁上的冷凝现象。
(4) 掌握热电阻测温的方法。
(5) 了解影响给热系数的因素和强化传热的途径二、实验原理在工业生产过程中,大量情况下,冷、热流体系通过固体壁面(传热元件)进行热量交换,称为间壁式换热。
如图(4 - 1)所示,间壁式传热过程由热流体对固体壁面的对流传热, 固体壁面的热传导和固体壁面对冷流体的对流传热所组成。
图4-1间壁式传加程示意图达到传热稳定时,有Q -—爲)=卿/■沖仏一人.)-%4(丁-為)輛-场血(斥-咖式中:Q —传热量,J / s ;m —热流体的质量流率,kg / sC PI—热流体的比热,J / (kg ? C);T i —热流体的进口温度,C;T2 —热流体的出口温度,C;m —冷流体的质量流率,kg / s (4-1 )TC p2 —冷流体的比热,J /(kg ? C );11 —冷流体的进口温度,C;t2 —冷流体的出口温度,C;2:-1 —热流体与固体壁面的对流传热系数,W / (mC ); A—热流体侧的对流传热面积,m;";| —热流体与固体壁面的对数平均温差,C;2:-2 —冷流体与固体壁面的对流传热系数,W / (mC );A—冷流体侧的对流传热面积,m;|f\ —固体壁面与冷流体的对数平均温差,C;K —以传热面积A为基准的总给热系数,W / (m 2C);—冷热流体的对数平均温差,C;热流体与固体壁面的对数平均温差可由式(4—2)计算,—[「J(4 - 2)亠4 一5式中:T1 —热流体进口处热流体侧的壁面温度,C;TA2 —热流体出口处热流体侧的壁面温度,C。
固体壁面与冷流体的对数平均温差可由式(4—3)计算,r - :(4 —3)In切7式中:t wi —冷流体进口处冷流体侧的壁面温度,C;t W2 —冷流体出口处冷流体侧的壁面温度,C。
实验六存储器和总线实验一、实验目的熟悉存储器和总线组成的硬件电路。
二、实验要求按照实验步骤完成实验项目,利用存储器和总线传输数据三、实验内容实验原理图如下(省略图):(1)实验原理按照实验所用的半导体静态存储器电路图进行操作,该静态存储器由一片6116(2K x 8)构成,其数据线(D0-D7)已和数据总线(BUS-DISP UNIT)相连接,地址线由地址锁存器(74LS273)给出,该锁存器的输入已连接至数据总线。
地址A0-A7与地址总线相连,显示地址内容。
数据开关经一三态门(74LS245)已连接至数据总线,分时给出地址和数据。
因为地址寄存器为8位,接入6116的地址A7-A0,而高三位A8-A10本实验装置已接地,其容量为256字节。
6116有三根控制线:/CS(片选线)、OE(读线)、WE(写线)。
当片选有效(/CS=0)时,同时OE=0时,(WE=0)时进行读操作。
本实验中将OE引脚接地,在此情况下,当/CS=0、WE=1时进行写操作,/CS=0、WE=0时进行读操作,其写时间与T3脉冲宽度一致。
实验时T3脉冲由“单步”命令键产生,其他电平控制信号由二进制开关模拟,其中/CE(存储器片选信号)为低电平有效,WE为写/读(W/R)控制信号,当WE=0时进行读操作、当WE=1时为写操作。
(2)实验步骤1、控制信号连接:位于实验装置右侧边缘的RAM片选端(/CE)、写/读线、(WE)、地址锁存信号(LDAR)与位于实验装置左上方的控制信号(/CE、WE、LDAR)之间对应相连接。
位于实验装置左上方CTR-OUT 的控制信号(/SW-B)与左下方INPUT-UNIT(/SW-B)对应相连接。
具体信号连接:/CW,WE,LDAR,/SW-B2、完成上述连接,仔细检查无误后方可进入本实验。
在闪动上的“P.”状态下按动增址命令键,使LED显示自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。
实验二 Altium-Designer-Release-10 数字电路原理图电子线路设计软件课程设计报告实验内容:实验二 Altium Designer Release 10 数字电路原理图设计一、实验目的:1、 学习使用 Altium Designer Release 10 绘制数字电路原理图; 2、 熟练掌握用 Altium Designer Release 10 设计原理图的流程; 3、 掌握从 Altium Designer Release 10 的库里查找数字电路所需原件; 4、 学习建立自己的元件库,能自己绘制没有的数字电路元件; 5、 学会对原理图进行电气检查,更改其中的错误。
二、Altium Designer Release 10 软件绘制数字电路的步骤1、建立设计文件 建立一个新的工程文件和原理图文件,并找到数字电路元件所在的元件库文件; 2、设置图纸参数 根据电路的复杂程度以及要求的图纸规范设置原理图图纸的大小、方向、表题栏的格式等参 数,经过画图的经验,我觉得这一步要在一开始就设置好,否则在中途更改图纸大小,会使 画好的元件布局不合理; 3、载入原理图符号库 在 AD 中,点击 Libraries 就可以到搜索元件符号的界面,而元件库很好添加,要那个库就在 搜索栏里找到这个库的名字,就可以添加这个库里元件符号。
4、放置和调整元件。
5、设置元件属性。
6、原理图的布线。
7、添加标注。
8、检测和修改。
三、Altium Designer 10 如何编辑元件库(一)、编辑一个单片机元件:1、首先在 Miscellaneous Connectors.IntLib 库里面找到有 2X33 个管脚的元件符号,删除一个 管脚剩下的 65 个管脚就是我们所需要的。
2、由于单片机的一些管脚的管脚名很长,这就需要我们要调整代表芯片的长方形的宽度, 我们把最长的管脚名先标注,然后在以这个管脚名调整长方形的宽度,若事先不调节好,可 能有些管脚名会重合看不清,在在修改起来比较麻烦。
实验二制作原理图元件及元件库
一、实验目的
1、掌握元器件库编辑器的功能和基本操作。
2、掌握用元器件库来制作电路元器件。
3、Drawing Tools工具栏的使用。
二、实验内容
1、新建一个项目文件,新建原理图库文件,将文档名修改为Mylib.lib。
2、元器件库编辑器的基本操作。
设置文档参数,可视栅格为10mil,捕获栅格为5
mil,图纸大小为A4;熟悉画图工具栏的使用。
3、修改原件图形符号,Miscellaneous Device.ddb 元件库中的NPN 三极管元件图
形符号修改为图2-1形式。
图2-1
4、用画图工具栏绘制Normal格式的元器件。
绘制图2-2所示元器件,名为
P89C51RD2,大小为130*250 mm管脚20为GND,40脚为VCC ,管脚18、19、
31为输入脚,管脚29、30为输出脚,其余管脚的电气属性为IO,元器件封装
为DIP40。
图2-2
5、制作LED数码显示器,元器件图形如图2-3。
亦可绘制课本图2-116形式的LED
数码显示器。
图2-3。
自动控制原理实验实验一 控制系统的数学模型一、 实验目的1. 熟悉Matlab 的实验环境,掌握Matlab 建立系统数学模型的方法。
2. 学习构成典型环节的模拟电路并掌握典型环节的软件仿真方法。
3. 学习由阶跃响应计算典型环节的传递函数。
二、 实验内容1. 已知图1.1中()G s 和()H s 两方框相对应的微分方程分别是:()610()20()()205()10()dc t c t e t dtdb t b t c t dt+=+=且满足零初始条件,用Matlab 求传递函数()()C s R s 和()()E s R s 。
图1.1 系统结构图2. 构成比例环节、惯性环节、积分环节、比例-积分环节、比例-微分环节和比例-积分-微分环节的模拟电路并用Matlab 仿真;3. 求以上各个环节的单位阶跃响应。
三、 实验原理1. 构成比例环节的模拟电路如图1.2所示,该电路的传递函数为:21().R G s R =-图1.2 比例环节的模拟电路原理图2. 构成惯性环节的模拟电路如图1.3所示,该电路的传递函数为:221(),,.1R KG s K T R C Ts R =-==+图1.2 惯性环节的模拟电路原理图3. 构成积分环节的模拟电路如图1.3所示,该电路的传递函数为:1(),.G s T RC Ts==图1.3 积分环节的模拟电路原理图4. 构成比例-积分环节的模拟电路如图1.4所示,该电路的传递函数为:2211()1,,.R G s K K T R C Ts R ⎛⎫=-+== ⎪⎝⎭图1.4 比例-积分环节的模拟电路原理图5. 构成比例-微分环节的模拟电路如图1.5所示,该电路的传递函数为:221()(1),,.R G s K Ts K T R C R =-+==图1.5 比例-微分环节的模拟电路原理图6. 构成比例-积分-微分环节的模拟电路如图1.6所示,该电路的传递函数为:121211212121121()1(1)()()()()()p d i f p i i ff i f f f f f d f f G s K T s T s R R R R C K R R C T R CT R R C R R C R R R R R R CC T R R C R R C⎛⎫=++ ⎪⎝⎭++=+==+++++=+++图1.6 比例-积分-微分环节的模拟电路原理图四、实验要求1.画出各环节的模拟电路图。
实验四数码管显示控制一、实验目的1、熟悉Keil uVision2软件的使用;2、掌握LED数码管显示接口技术;3、理解单片机定时器、中断技术。
二、实验设备及仪器Keil μVision2软件;单片机开发板;PC机一台三、实验原理及内容1、开发板上使用的LED 数码管是四位八段共阴数码管(将公共端COM接地GND),其内部结构原理图,如图4.1所示。
图4.1共阴四位八段LED数码管的原理图图4.1表明共阴四位八段数码管的“位选端”低电平有效,“段选端”高电平有效,即当数码管的位为低电平,且数码管的段为高电平时,相应的段才会被点亮。
实验开发板中LED数码管模块的电路原理图,如图4.2所示。
SP1a~hP0.4~P0.7SP2P0.0~P0.3图4.2 LED数码管模块电路原理图图中,当P1.0“段控制”有效时,P0.0~P0.7分别对应到数码管的a~h段。
当P1.1“位控制”有效时,P0.0~P0.7分别对应到DIG1~DIG8。
训练内容一:轮流点亮数码管来检测数码管是否正常。
参考程序:ORG 00HAJMP MAINMAIN:SETB P1.2;LED流水灯模块锁存器的控制位MOV P0,#0FFH;关闭LED灯CLR P1.2SETB P1.3 ;点阵模块的行控制锁存器MOV P0,#0 ;关闭点阵行CLR P1.3MOV A,#11111110B;数码管“位选信号”初值,低电平有效LOOP:SETB P1.1;数码管位控制锁存器有效MOV P0,ACLR P1.1RL A ;形成新的“位选信号”,为选择下一位数码管做准备SETB P1.0;数码管段控制锁存器有效MOV P0,#0FFH ;数码管的所有段点亮,显示“8”CLR P1.0CALL DELAYSJMP LOOPDELAY:MOV R5,#0;延时子程序D1: MOV R6,#0D2:NOPDJNZ R6,D2DJNZ R5,D1RETEND训练内容二:静态显示,0~9计数。
EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。
2、熟悉EDA-IV实验箱。
3、熟悉EDA开发的基本流程。
二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。
三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。
实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。
表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。
验证实验一 稳压二极管稳压验证实验
1. 实验目的和要求
稳压二极管稳压的基本条件及原理; 锻炼学生的实验动手能力。
2. 主要设备及器件
面包板一块,5V 电源一个,1K 和500K 滑动变阻器各一个,稳压二极管BZV55-C3V3一个,电流表一个,电压表一个。
3. 实验内容
验证稳压二极管的稳压原理
4. 实验原理
图1 稳压二极管工作原理图
假设稳压二极管Z D 的稳压值为Z V ,正常稳压时的工作电流范围为:min I ~max I 。
稳压二极管正常进行稳压的工作条件为min max
I I o Z
Z u V i >⎧⎨<<⎩
5. 实验步骤
图2 稳压二极管工作电路链接示意图
稳压二极管工作电路链接如图2所示。
第1步:在面包板上搭建如图2所示的稳压二极管工作电路图;
第2步:R2的值固定为500KΩ,改变R1的阻值,将测得的数据填入表1中。
R1(Ω)0100200300400500600700800900
i(mA)(计算)
Z
V(V)
Z
第3
R2(Ω)1k2k5k10k50k100k200k300k400k
i(mA) (计算)
Z
V(V)
Z
6.分析和讨论
对表1和表2中的数据进行分析和合理的解释。
(素材和资料部分来自网络,供参考。
可复制、编制,期待您的好评与关注)。
《机械工程测试技术》实验指导书实验一、霍尔传感器的直流激励特性一、实验目的加深对霍尔传感器静态特性的理解。
掌握灵敏度、非线性度的测试方法,绘制霍尔传感器静态特性特性曲线,掌握数据处理方法。
二、实验原理当保持元件的控制电流恒定时,元件的输出正比于磁感应强度。
本实验仪为霍尔位移传感器。
在极性相反、磁场强度相同的两个钢的气隙中放置一块霍尔片,当霍尔元件控制电流I不变时,Vh与B成正比。
若磁场在一定范围内沿X方向的变化梯度dB/dX为一常数,则当霍尔元件沿X方向移动时dV/dX=RhXIXdB/dX=K,K为位移传感器输出灵敏度。
霍尔电动势与位移量X成线性关系,霍尔电动势的极性,反映了霍尔元件位移的方向。
三、实验步骤1.有关旋钮初始位置:差动放大器增益打到最小,电压表置2V档,直流稳压电源置±2V档。
2..RD、r为电桥单元中的直流平衡网络。
3.差动放大器调零,按图6-1接好线,装好测微头。
4.使霍尔片处于梯度磁场中间位置,调整RD使电压表指示为零。
5.上、下旋动测微头,以电压表指示为零的位置向上、向下能够移动5mm,从离开电压表指示为零向上5mm的位置开始向下移动,建议每0.5mm读一数,记下电压表指V-X曲线, 指出线性范围。
7.将位移和输出电压数据分成两组,用“点系中心法”对数据进行处理,并计算两点联线的斜率,即得到灵敏度值。
实验可见:本实验测出的实际是磁场的分布情况,它的线性越好,位移测量的线性度也越好,它们的变化越陡,位移测量的灵敏度也就越大。
四、思考题1.为什么霍尔元件位于磁钢中间位置时,霍尔电动势为0。
2.在直流激励中当位移量较大时,差动放大器的输出波形如何?实验二、电容传感器的直流特性实验内容:加深对电容传感器静态特性的理解。
掌握灵敏度、非线性度的测试方法,绘制电容传感器静态特性曲线,掌握数据处理方法。
实验步骤1.按图7-1差动放大器“+”、“-”输入端对地短接,旋动放大器调零电位器,使低通滤波器输出为零。
《单片机与嵌入式控制技术》实验书机电工程学院目录安全注意事项 (1)实验一keil软件平台实验 (2)实验二按键控制实验 (10)实验三查表实验 (12)实验四中断实验 (14)附录......................................................................................................... 错误!未定义书签。
安全注意事项1、不要在实验室吃东西。
2、不要穿拖鞋进入实验室,下雨天雨伞不要带入实验室。
3、使用实验箱时轻拿轻放,插拔排线时,对准,不要把排针插歪或者弄折,同时注意别被排针扎手。
4、接线时,必须把实验箱电源关闭。
5、下课后整理实验箱,设备,导线,椅子归原位,电脑正常关机,产生的垃圾带走。
实验一keil软件平台实验一、实验目的认识单片机MCS-51最小系统的构成和相关的开发软件。
任何一个用户系统的开发都需要一个界面良好的调试平台,以方便地、快捷地完成系统的设计与调试。
单片机的开发也是如此。
Keil是目前最流行,使用最广泛的开发平台,也是我们学习单片机的良好工具。
因此,我们必须首先熟悉这个工具,掌握这个工具。
二、实验内容及原理(一)实验内容要求实现某一个I/O口控制八个LED发光二极管左移或者右移流水。
(二)实验原理实验是通过对一些简单程序的调试,帮助我们直观地了解与掌握Keil调试软件的基本功能,掌握如何利用Keil来调试应用系统的硬件与软件的基本方法。
1、硬件(1)实验箱开发板主控芯片采用单片机型号为STC89C52RC,总共四组八位并行I/O口,均可实现数据输入输出,一个全双工串口,可支持的振荡频率范围为2~48Mhz,具有三个16位可编程定时器/计数器,八个中断源,四级优先级,其引脚分布如图1-1所示。
此外实验箱开发板还配备了共阴极数码管显示模块、共阳极八字形流水灯模块、点阵模块、独立按键模块、矩阵按键模块、LCD1602液晶显示模块、蜂鸣器、实时时钟模块、直流电机模块、A/D转换模块等外围模块、超声波模块、温度传感器模块等,可供相关实验与单片机配合使用。
实验五用原理图输入法设计8位全加器1、实验目的:熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。
2、实验原理:利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备被许多诸如编程技术、硬件语言等新知识。
除了最初的图形编辑输入外,其他处理流程都与文本(如VHDL文件)输入设计完全一致。
先由一个半加器构成一个全加器,再由一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相临的高位加法器的最低进位输入信号cin相接。
3、主要仪器设备:EDA实验箱、QuartusII软件和计算机。
4、实验内容及过程:利用QuartusII完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,键2、键1输入8位加数;键4、键3输入8位被加数;数码6/5显示加和;D8显示进位cout。
在E:/EDA/下建立一个新的文件夹为ADDER8。
本设计的思路是先设计1个1位半加器,因此建立新建文件夹E:/EDA/ ADDER8/h_adder;要利用1位的半加器构造1位的全加器,因此建立新建文件夹E:/EDA/ ADDER8/f_adder;要利用1位的全加器构造8位的全加器,因此建立新建文件夹E:/EDA/ ADDER8/adder8;(1)、用原理图输入法构造1位半加器打开QuartusII软件,选择新建一个原理图文件,进入原理图编辑窗。
在空白编辑窗的地方电击鼠标右键,选择加入模块。
此图电击OK,为加入输入引脚。
以同样的方法加入其它模块,如下图所示。
对其连线。
连线时注意,若信号为1位,则用导线进行连接,若信号为多位,则用总线进行连接。
注意连线时,最后连好的线平滑,无x等标识方能表明线正确连接。
杭州职业技术学院《电器控制与PLC》实验报告机电工程系电气教研室2005年4月实验一三相鼠笼式异步电动机的点动和自锁控制线路一、实验内容继电接触控制系统对中小功率笼式异步机进行直接起动,其控制线路由继电器、接触器、按钮等有触头电器组成。
某些生产机械在安装或维修后常常需要所谓“点动”控制。
除点动外,电机更多地工作于连续工作状态。
1、本次实验的内容:1)、三相鼠笼式异步电机点动控制线路2)、三相鼠笼式异步电机单方向连续旋转控制线路3)、三相鼠笼式异步电机点动及单方向连续旋转复合控制线路2、实验原理图1)三相鼠笼式异步电机点动控制线路的原理图2)三相鼠笼式异步电机单方向连续工作控制线路的原理图3)三相鼠笼式异步电机点动及单方向连续工作复合控制线路的原理图二、实验目的1、熟悉三相鼠笼式异步电机单方向起动停止和点动控制线路中各电器元件的使用方法及其在线路中所起的作用。
2、掌握三相鼠笼式异步电机单方向起动停止和点动控制线路的工作原理、接线方法、调试及故障排除技能。
三、实验步骤1)、三相鼠笼式异步电机点动控制2)、三相鼠笼式异步电机单方向连续旋转控制3)、三相鼠笼式异步电机点动及单方向连续旋转复合控制四、思考题1、在单向连续工作控制线路中,若自锁常开触头错接成常闭触头,会发生什么现象?2、在点动及单向连续工作复合控制线路中,说明按下按钮SB3时电机为何是点动工作?3、实验线路中是如何实现短路保护、过载保护、欠压保护与失压保护的?实验二三相鼠笼式异步电动机可逆旋转控制线路一、实验内容在生产实践中,常常需要生产机械的运动部件能在一定范围内自动往复运动,此时往往要求电动机能正转、反转可逆运行。
1、本次实验的内容:三相鼠笼式异步机“正←→反”可逆控制线路2、实验原理图三相鼠笼式异步电机“正←→反”可逆控制线路的原理图二、实验目的1、掌握三相笼式异步机可逆运行控制线路的工作原理、接线方式及操作方法。
2、掌握机械及电气互锁的连接方法及其在控制线路中所起的作用。
光敏电阻传感器特性及应用实验1.了解光敏电阻的光电特性2.了解光敏电阻暗电流、光电流的测量方法3.掌握光敏电阻的伏安特性、负载特性的测量方法1.分析光敏电阻传感器测量电路的原理;2.连接传感器物理信号到电信号的转换电路;3.软件观测亮度变化时输出信号的变化情况;4.记录实验波形数据并进行分析。
1.开放式传感器电路实验主板;2.光敏电阻亮度测量模块;3.导线若干。
光敏电阻又称光导管,它几乎都是用半导体材料制成的光电器件。
光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也可以加交流电压。
无光照时,光敏电阻值(暗电阻)很大,电路中电流(暗电流)很小。
当光敏电阻受到一定波长范围的光照时,它的阻值(亮电阻)急剧减小,电路中电流迅速增大。
一般希望暗电阻越大越好,亮电阻越小越好,此时光敏电阻的灵敏度高。
实际上光敏电阻的暗电阻值一般在兆欧量级,亮电阻值在几千欧以下。
图1-1 光敏电阻的电极实验原理及内容:光敏电阻的主要参数及测试方法:1、暗电阻:光敏电阻在不受光照射时的阻值称为暗电阻,此时流过的电流称为暗电流。
在测量光敏电阻的暗电流时,应先将光敏电阻置于黑暗环境中30分钟以上,否则电压表的读数会较长时间后才能稳定。
将光敏电阻完全置入黑暗环境中(用遮光罩为光敏电阻遮光,且不通电),使用万用表电阻档测量光敏电阻引脚输出端,即可得到光敏电阻的暗电阻R暗。
由于光敏电阻的个体差异,某些暗电阻可能大于200兆欧,属于正常现象。
利用图1-2,可以测量光敏电阻的暗电流,图中取E=12V,RL=10M,由电压表读数除以RL,即可得出光敏电阻的暗电流I暗。
2、亮电阻:光敏电阻在受光照射时的电阻称为亮电阻,此时流过的电流称为亮电流。
亮电阻的测试:在一定的光照条件下(移除遮光罩)由Counter输出PWM波驱动LED光源,使用万用表电阻档测量光敏电阻引脚输出端,即可得到光敏电阻的暗电阻R亮。
利用图1-3,取E=12V,RL=2k。
说明:(a)若I/O管脚冲突,则自行调整。
每组至少完成8个实验。
(b)每组根据自愿可自加实验内容1个(例如:数字电子钟、A/D 转换、D/A转换、4x4矩阵键盘、8*8点阵、乐曲、温度采集、步进电机控制等),将电路元件加入其中,并编制软件调试。
(c)电路板在加工前一定要小组内仔细检查。
(d)建议每位同学搞清楚每个实验,切记不可抄袭。
(e)参考程序仅供参考。
表 1 端口分配表参考供电电路:实验一闪烁灯实验1 实验任务及原理图如图1所示:在P1.0端口上接一个发光二极管L1,使L1在不停地一亮一灭,一亮一灭的时间间隔为1秒。
图1 闪烁灯原理图2 C语言源程序#includesbit L1=P1^0;void delay02s(void) //延时0.2秒子程序{unsigned char i,j,k;//时间需要在keil中调试,这儿是随便给的循环次数for(i=20;i>0;i--)for(j=20;j>0;j--)for(k=248;k>0;k--);}void main(void){while(1){L1=0;delay02s();L1=1;delay02s();}}实验二模拟开关灯实验1实验任务及原理图如图2所示,监视开关K1(接在P3.0端口上),用发光二极管L1(接在单片机P1.0端口上)显示开关状态,如果开关合上,L1亮,开关打开,L1熄灭。
图2 模拟开关灯原理图2 程序框图3 C语言源程序#includesbit K1=P3^0;sbit L1=P1^0;void main(void){while(1){if(K1==0){L1=0; //灯亮}else{L1=1; //灯灭}}}实验 3 按键(开关)识别1 实验任务及原理图实验任务如图3所示,AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,P1.4-P1.7接了四个开关K1-K4,编程将开关的状态反映到发光二极管上。
(开关闭合,对应的灯亮,开关断开,对应的灯灭)。
图3 按键(开关识别)2 c语言程序方法一(C语言源程序)#includeunsigned char temp; void main(void){while(1){temp=P1>>4;temp=temp | 0xf0;P1=temp;}}方法二(C语言源程序)#includevoid main(void) {while(1){if(P1_4==0) {P1_0=0;}else{P1_0=1;}if(P1_5==0) {P1_1=0;}else{P1_1=1; }if(P1_6==0) {P1_2=0;}else{P1_2=1;}if(P1_7==0) {P1_3=0;}else{P1_3=1;}}}实验4 流水灯设计1 实验任务及原理图让8个发光二极管按照一定顺序循环闪烁,时间间隔为1s。
用8芯排线连接到“八路发光二极管指示模块”区域中的L1-L8端口上,要求:P1.0对应着L1,P1.1对应着L2,……,P1.7对应着L8。
图4 流水灯(广告灯)设计2程序框图3 c语言程序方法1(左移或右移)#include <AT89X51.H> unsigned char i; unsigned char temp; unsigned char a,b;void delay(void){unsigned char m,n,s;for(m=20;m>0;m--)for(n=20;n>0;n--)for(s=248;s>0;s--);}void main(void){while(1){temp=0xfe;P1=temp;delay();for(i=1;i<8;i++){a=temp<<i;b=temp>>(8-i);P1=a|b;delay();}for(i=1;i<8;i++){a=temp>>i;b=temp<<(8-i);P1=a|b;delay();}}}方法2 (数组方式)C语言源程序#include <AT89X51.H>unsigned char code table[]={0xfe,0xfd,0xfb,0xf7, 0xef,0xdf,0xbf,0x7f,0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f,0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0x00,0xff,0x00,0xff,0x01};unsigned char i;void delay(void){unsigned char m,n,s;for(m=20;m>0;m--)for(n=20;n>0;n--)for(s=248;s>0;s--);}void main(void){while(1){if(table!=0x01){P1=table;i++;delay();}else{i=0;}}}实验五报警器1 任务及原理图用P1.0输出1KHz和500Hz的音频信号驱动扬声器,作报警信号,要求1KHz信号响100ms,500Hz信号响200ms,交替进行,P1.7接一开关进行控制,当开关合上响报警信号,当开关断开告警信号停止,编出程序。
图5 报警器电路(请参考LM386资料)2程序框图3 c语言程序#include <AT89X51.H> #include <INTRINS.H> bit flag;unsigned char count; void dely500(void) {unsigned char i;for(i=250;i>0;i--){_nop_();}}void main(void){while(1){if(P1_7==0){for(count=200;count>0;count--) {P1_0=~P1_0;dely500();}for(count=200;count>0;count--) {P1_0=~P1_0;dely500();dely500();}}}实验六键盘防抖动及按键识别1 实验任务及原理图每按下一次开关SP1,计数值加1,通过AT89S51单片机的P1端口的P1.0到P1.3显示出其的二进制计数值。
图6键盘防抖动及按键识别2程序框图3 C语言源程序#include <AT89X51.H> unsigned char count; void delay10ms(void) {unsigned char i,j; for(i=20;i>0;i--)for(j=248;j>0;j--); }void main(void){while(1){if(P3_7==0) {delay10ms();if(P3_7==0) {count++;if(count==16) {count=0;}P1=~count; while(P3_7==0); }}}}实验7(数码管应用)1 任务及实验原理图图72程序框图3 c语言程序(1.单片机对按键的识别的过程处理(2.单片机对正确识别的按键进行计数,计数满时,又从零开始计数;(3.单片机对计的数值要进行数码显示,计得的数是十进数,含有十位和个位,我们要把十位和个位拆开分别送出这样的十位和个位数值到对应的数码管上显示。
如何拆开十位和个位我们可以把所计得的数值对10求余,即可得个位数字,对10整除,即可得到十位数字了。
(4.通过查表方式,分别显示出个位和十位数字。
#includeunsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};unsigned char Count;void delay10ms(void){unsigned char i,j;for(i=20;i>0;i--)for(j=248;j>0;j--);}void main(void){Count=0;P0=table[Count/10];P2=table[Count%10];while(1){if(P3_7==0){delay10ms();if(P3_7==0){Count++;if(Count==100){Count=0;}P0=table[Count/10];P2=table[Count%10];while(P3_7==0);}}}}实验8定时计数器的应用1 实验任务及电路原理图用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始。
图8定时计数器的应用2程序框图3 c语言程序AT89S51单片机的内部16位定时/计数器是一个可编程定时/计数器,它既可以工作在13位定时方式,也可以工作在16位定时方式和8位定时方式。
只要通过设置特殊功能寄存器TMOD,即可完成。
定时/计数器何时工作也是通过软件来设定TCON特殊功能寄存器来完成的。
现在我们选择16位定时工作方式,对于T0来说,最大定时也只有65536us,即65.536ms,无法达到我们所需要的1秒的定时,因此,我们必须通过软件来处理这个问题,假设我们取T0的最大定时为50ms,即要定时1秒需要经过20次的50ms的定时。
对于这20次我们就可以采用软件的方法来统计了。
因此,我们设定TMOD=00000001B,即TMOD=01H下面我们要给T0定时/计数器的TH0,TL0装入预置初值,通过下面的公式可以计算出TH0=(216-50000)/ 256TL0=(216-50000)MOD 256当T0在工作的时候,我们如何得知50ms的定时时间已到,这回我们通过检测TCON特殊功能寄存器中的TF0标志位,如果TF0=1表示定时时间已到。
(1)C语言源程序(查询法)#include <AT89X51.H>unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00};unsigned char second;unsigned char tcount;void main(void){TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;TR0=1;tcount=0;second=0;P0=dispcode[second/10];P2=dispcode[second%10];while(1){if(TF0==1){tcount++;if(tcount==20){tcount=0;second++;if(second==60){second=0;}P0=dispcode[second/10];P2=dispcode[second%10];}TF0=0;TH0=(65536-50000)/256;TL0=(65536-50000)%256;}}}(2) C语言源程序(中断法)#include <AT89X51.H>unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71,0x00}; unsigned char second;unsigned char tcount;void main(void){TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;TR0=1;ET0=1;EA=1;tcount=0;second=0;P0=dispcode[second/10];P2=dispcode[second%10];while(1);}void t0(void) interrupt 1 using 0 {tcount++;if(tcount==20){tcount=0;second++;if(second==60){second=0;}P0=dispcode[second/10];P2=dispcode[second%10];}TH0=(65536-50000)/256;TL0=(65536-50000)%256;}实验9 串口实验1 实验任务及原理图实验十 LCD1602显示实验1 实验任务及原理图实验10 LCD1602 显示实验。