任意波形发生器

  • 格式:doc
  • 大小:634.50 KB
  • 文档页数:13

下载文档原格式

  / 13
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1绪论

1.1任意波形发生器的发展历程

任意波形发生器(Arbitrary Wave Generator)是在1975年开发成功的,从此,信号发生器产品增加了一个新品种。在任意波形发生器作为测量用信号激励源进入市场之前,为了产生非正弦波信号,已使用函数发生器提供三角波、斜波、方波和余弦波等几种特殊波形。声音和振动分析需要复杂调制的信号源,以便仿真真实的信号,只有借助任意波形发生器,例如医疗仪器测试往往需要心电波形,任意波形发生器很容易产生各种非标准的振动信号。

早期的任意波形发生器主要着重音频频段,现在的任意波形发生器已扩展到射频频段,它与数字示波器(DSO)密切配合,只要数字示波器捕获的信号,任意波形发生器就能复制出同样的波形。在电路构成上,数字示波器是模拟/数字转换,任意波形发生器是数字/模拟的逆转换,目前任意波形发生器的带宽达到2GHz,足够仿真许多移动通信、卫星电视的复杂信号。

生产数字示波器的仪器公司一般都供应任意波形发生器,如安捷伦、力科、泰克公司,也有只生产任意波形发生器的公司,如雷科、斯坦福公司。仪器有台式、PC机虚拟、VXI总线、PXI总线等多种方式,大部分产品只有1路输出,有的高达16路输出。仪器采样率从最低的100KS/s到4GS/s,相当实时带宽50kHz到最高的2GHz。产生任意波形的方法主要有两种:即存储器和直接数字合成(DDS),前者电路比较简单,分两种形式:相位累加器式与计数器式,但需要较深的存储容量。任意波形发生器的波形定义主要有面板设定、方程式设定、波形下载、软件设定、数字示波器下载、内置编辑器等多种。

1.2任意波形发生器的发展趋势及应用

任意波形发生器的应用非常广泛,在原理上可仿真任意波形,只要数字示波器或其它记录仪捕捉到的波形,任意波形发生器都可复制出,特别有用的是仿真单次偶发的信号,例如地震波形、汽车碰撞波形等等。

任意波形发生器的发展趋势是更高取样率,更高分辨率和更大存储量,目前实时带宽超过1GHz的产品比较少,而且分辨率只有8位,不能满足快速发展的移动通信和高速网络的测量要求。与数字存储示波器相比,任意波形发生器的全面指标存在明显差距,前者的取样率达到20GS/s和带宽6GHz,后者的取样率是4.8GS/s 和带宽2GHz。任意波形发生器首先要赶上数字存储示波器,然后再往前发展,因为在电路构成方面,任意波形发生器的核心部件是高速数/模转换器,它的工艺潜力还很大,显然缺少的是市场需求。

任意波形发生器在通信系统、测试系统等方面得到广泛应用。任意波形发生器的另一重要应用领域是低频,例如心电图、汽车点火、防撞气囊、医疗仪器等。

2统分析及方案论证

2.1系统分析

本设计要求利用实验仪器现有的资源,通过FPGA(EPM7128SLC84-15)、D/A (AD558)、时钟模块等设计一个全数字化的波形发生器。通过对输入端的控制,可输出方波、三角波、锯齿波、正弦波四种波形,并可通过频率控制端对输出波形的频率进行简单控制。

波形发生器有多种实现方案,一种是根据波形函数通过VHDL写出每一种波形的描述代码,再把产生的数据通过外部的D/A转换成相应的模拟波形;另一种是用其他的工具产生各种波形的16进制代码,通过VHDL描述一个ROM和相应的控制器,再在时钟信号作用下,把数据输出到外部D/A转换成相应的模拟波形。由于对ROM的设计并不熟悉,故采用第一种方案。

2.1方案论证

对于采用第一种方案实现,其外部电路主要有:波形选择开关(拨码开关)、D/A转换器(AD558)、时钟信号源。

1.波形发生器的组成电路主要有:信号输入电路,A/D采样电路,时钟电路,FPGA芯片。除FPGA芯片需自行设计外,其他电路在实验仪器中都已做好,只需将它们与FPGA芯片连接起来即可。

2.考虑D/A采样方法。在设计波形发生器时,对于D/A采用何种方法对信号进行采样也是很关键的,采样方法主要有实时采样和等效采样两种。一般来说,对于使用哪种取样类型取决于测试信号的形式:如果是重复信号波形,采用实时取样和等效取样都行,但使用等效取样方法更为经济。对观察非周期信号和瞬态信号,实时取样方法能更好的处理和分析。

3.FPGA设计。FPGA设计是关键,它是整个波形发生器的控制中心和数据处理中心,负责完成D/A的控制。在FPGA芯片中,至少需要设计的模块有:D/A控制器,时序产生器,同步器等。

1)D/A控制器:生成采样时钟及D/A采样芯片的控制信号,并读取D/A

采样结果。

2)时序产生器:产生各单元所需的各种时钟信号。

3)同步器:产生各种所需的同步信号,用来实现对相应数据的同步传送

3系统设计

3.1 VHDL设计

VHDL描述见附录。

生成器件

端口说明:

CLK:时钟脉冲CLR:清零ENA:使能控制

FC:频率选择 WC:波形选择CQ:输出(接AD558)

3.2 设计说明

D/A转换器的功能是把二进制数字量电信号转换为与其数值成正比的模拟量电信号。AD558可读入从“00000000”到“11111111”共256个二进制数,转换成对应模拟量电信号的值从0V到2.56V。

本VHDL设计以计数器为基础,针对AD558的特性,通过不同的计数方式来产生不同的波形描述代码。通过时钟信号clk 来产生方波、三角波的计数控制信号clk1和clk2;clk1为clk的128分频信号,clk2为clk的256分频信号;并对clk 进行2分频来产生正弦波的时钟触发信号ck。

➢方波:在clk时钟触发信号下,分别以clk1和clk2为控制信号,对fb进行赋值。

➢锯齿波:在clk时钟触发信号下,对jcb分别进行7位二进制计数和8位二进制计数。

➢三角波:在clk时钟触发信号下,以clk1和clk2为控制信号,分别对sjb 进行7位二进制计数和8位二进制计数。

➢正弦波:首先将正弦波的半周期分成64份,每份取一点,并算出各点的值。在ck时钟触发信号下,通过count 计数,逐一将正弦波半周期

内64个点的值赋给zxb,再通过clk2来控制zxb的输出。

由于方波、三角波均以clk为时钟信号,且以clk1和clk2为计数控制信号,故输出波形的频率高、低分别为clk频率的1/256和1/512,;锯齿波的高频和低频分别为clk频率的1/128和1/256;正弦波的频率为clk频率的1/128。