当前位置:文档之家› 数字频率计的设计论文

数字频率计的设计论文

数字频率计的设计论文
数字频率计的设计论文

数字频率计系统设计

一、论文选题的目的和意义

数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成,计算机及各种数字仪表中,都得到了广泛的应用。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得尤为重要。测量频率的方法有多种,其中电子计数器测量频率具有使用方便、测量迅速,以及便于实现测量过程自动等优点,是频率测量的重要手段之一。

为了实现智能化的技术,测频实现宽领域,高精度的频率计,一种有效的方法是将单片机用于频率计的设计中去。单片机数字频率计以其可靠性高,体积小,价格低,功能全等优点,广泛的应用于各种智能仪器中,这些智能仪器校核以及测量过程的控制中,达到了自动化传统仪器中的开关和按钮被键盘所代替,测试人员在测量时只需按需要按的键,省掉了很多繁琐的人工操作,而采用lcd液晶显示器能够清楚明了的显示出测得的实验数据。

二、国内外关于该论题的研究现状和发展趋势

研究现状:随着科学技术的发展,用户对电子计数器也提出了新的要求。对于抵挡产品要求使用操作方便,量程(足够)宽,可靠性能搞,低价格。而对于中高档产品,则要求有高分辨率,高精度,搞稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。

由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率范围不断扩大,功能不断地增加。在测试通讯、微波器件或产品时,通常都是较复杂的信号,如含有复杂频率成分、调制的或含有未知频率分量的、频率固定的或变化的、纯净的或叠加有干扰的等等。为了能正确地测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。微波计数器一般使用类型频谱分析仪的分频或混频电路,另外还包含多个时间基准、合成器、中频放大器等。虽然所有的微波计数器都是用来完成技术任务的,但制造厂家都有各自的一套复杂的计数器的设计、使得不同型号的计数器性能和价格会有所差别,比如说一些计数器可以测量脉冲参数,并提供类似于频率分析仪的频幕显示,对这些功能具有不同功能不同规格的众多仪器。我们应该视测试需要正确的选择,以达到最经济和最佳的应用效果。

发展趋势:数字电路制造工业的进步,使得系统设计人员能在更小的空间实现更多的功能,从而提高系统可靠性和速度。现如今,数字频率计已经不仅仅是测量信号频率的装置了,用它还可以测量方波脉冲的脉宽。在人们的生活中频率计也发挥着越来越重要的作用,比如用数字频率计来监控生产过程,这样可以及时发现系统运行中的异常情况,以便给人们争取时间处理。

除此之外,它还可以应用于工业控制等其它领域。在传统的电子测量仪器中,示波器在进行频率测量是频率较低,误差较大。频率仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时的跟踪捕捉到被测信号的频率变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此频率计拥有非常广泛的引用范围。

在传统生产制造企业中,频率计被广泛应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出的频率变化,用于通过使用频率计能够迅速的发现有故障的晶振产

品,确保产品质量。

在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。

在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以用来对电台的跳帧信号进行分析。

对于频率计的设计目前也有专用芯片可以实现,如利用MAXIM公司的ICM7240来设计频率计。但由于这种芯片的计数频率比较低,远不能达到在一些场合需要测量很搞的频率要求,而测量精度也受到芯片本身的限制。提出的用AT8C52单片机设计频率计的方法可以解决这些问题,实现精度较高、等精度和宽范围频率计的设计。

三、论文的主攻方向、主要内容、研究方法及技术路线

本设计主攻方向为以电子测量中的测频法、测周法、测相差法为基本原理,以相关计数法测频为主要实现手段,以设计高频宽低误差的时间测量系统为目标。

目的在于设计出一个高频宽(0.1Hz~20MHz),低误差(误差精度为)的时间参

数测量系统。具体实现功能和技术指标如下:

(1)频率测量

a)测量范围信号:方波、正弦波

幅度:0.5~5 V

频率:0.1Hz~10MHz

b)测试误差≤0.01%(最大闸门时间≤10s)

(2)周期测量(技术指标及要求同频率测量)

(3)周期脉冲信号占空比测量

a)测量范围频率:1Hz~15kHz

幅度:0.5~5V

占空比变化范围:10%~90%

b)测试误差≤1%

(4)小信号放大和整形电路

其中,频率测量、周期测量应实现电路实模型及相应软件的设计和调试,对于周期脉冲信号占空比测量应完成仿真电路设计。

四、毕业论文(设计)的进度安排

第一阶段(2月21号~3月11号):阅读指定的电子测量的教材,详细了解时间参数测量的原理和误差来源,对论文中所涉及的各种测量方案以及测量的实现难点着重研读,打下理论基础。查找相关资料,基本形成总体设计的框架。

第二阶段(3月12号~3月22号):选择测量方案,设计出基于电子计数器实现的频率测量系统,了解电子计数器的特性和设计中应注意的事项。

第三阶段(3月23号~4月15号):熟悉和掌握微处理器彷真平台的应用环境,搭建设计电路,编写测试软件完成对电路的功能与指标的测试和优化。

第四阶段:(4月16号~4月26号)在优化系统设计的同时撰写毕业设计论文。

第五阶段:(4月27号~5月18号)完成毕业设计论文的撰写,上交指导教师和评阅教师,反馈意见后修改论文。

第六阶段:(5月19号~5月25号)检查和修改论文以达到规范化的要求,准备答辩。

五、毕业论文(设计)应收集的资料及主要参考文献

[1]. 蒋焕文、孙续. 电子测量(第二版). 中国计量出版社. 1998.5;

[2]. 刘国林、殷贯西. 电子测量 . 机械工业出版社. 2003.1

[3]. 孙焕根 . 电子测量与智能仪器 . 浙江大学出版社. 1992

[4]. 古天祥、王厚军等. 电子测量原理 . 机械工业出版社. 2004.9

[5]. 郭允、苏秉炜. 脉冲参数与时域测量技术. 中国计量出版社. 1989.10;

[6]. 美D.霍布沙尔. 电子仪器的电路设计 . 科学出版社 . 1986.9

[7]. 黄秉英. 时间频率的精确测量(第一版). 中国计量出版社. 1986.11

[8]. 美Kevin Skahill. 可编程逻辑系统的VHDL设计技术 . 东南大学出版社.1998.9

[9]. 高书莉、罗朝霞. 可编程逻辑设计技术及应用 .人民邮电出版社. 2001.9

[10]. 程云长、王莉莉. 可编程逻辑器件与VHDL语言 . 科学出版社. 2005.9

[11]. 陆玉新、傅崇伦. 电子测量 . 国防工业出版社 . 1985.12

[12]. 刘克刚.复杂电子系统设计与实践.电子工业出版社,2010.6

指导教师签名:年月日

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字频率计的设计与实现

目录 1. 引言 (1) 2.设计任务书 (1) 3. 数字频率计基本原理 (1) 3.1 设计思路 (1) 3.2 原理框图 (2) 4. 设计步骤及实现方法 (2) 4.1 信号拾取与整形 (2) 4.2 计数电路 (3) 4.3 锁存电路 (5) 4.4 译码显示电路 (6) 4.5 时钟电路及波形设计 (7) 5 总体电路图及工作原理 (10) 6 元器件的检测与电路调试缺点分析 (12) 7 心得体会 (12) 参考文献 (13)

1. 引言 数字频率计是一种基础测量仪器,在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。本设计项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计与调试的方法和步骤。

2.设计任务书 1、设计题目:数字频率计 2、设计出一个数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示方式:4位十进制数显示。 ( 5 )电源: 220V 、 50Hz 。 3、给定仪器设备及元器件 示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。 4.电路原理要求简单,便于制作调试,元件成本低廉易购。

3. 数字频率计基本原理 3.1 设计思路 (1)利用光电开关管做电机转速的信号拾取元件,在电机的转轴上安装一圆盘,在圆盘上挖一小洞,小洞上下分别对应着光发射和光接受开关,圆盘转动一圈既光电管导通一次,利用此信号做为脉冲计数所需。 (2)计数脉冲通过计数电路进行有效的计数,按照设计要求每一秒种都必须对计数器清零一次,因为电路实行秒更新,所以计数器到译码电路之间有锁存电路,在计数器进行计数的过程中对上一次的数据进行锁存显示,这样做不仅解决了数码显示的逻辑混乱,而且避免了数码显示的闪烁问题。 (3)对于脉冲记数,有测周和测频的方式。测周电路的测量精度主要受电路系统的脉冲产生电路的影响,对于低频率信号,其精度较高。测频电路其对于正负一的信号差比较敏感,对于低频率信号的测量误差较大,但是本电路仍然采用测频方式,原因是本电路对于马达电机转速精度要求较低,本电路还有升级为频率计使用,而测频方式对高频的精度还是很高的。 时钟实现方法很多,本电路采用晶振电路,已求得高精度的时钟需求。3.2 原理框图 图3-1 系统框图

计算机毕业论文_基于FPGA的等精度频率计的设计与实现

目录 前言...............................................................1 第一章 FPGA及Verilog HDL..........................................2 1.1 FPGA简介.....................................................2 1.2 Verilog HDL 概述.............................................2 第二章数字频率计的设计原理........................................3 2.1 设计要求.....................................................3 2.2 频率测量.....................................................3 2.3.系统的硬件框架设计..............................................4 2.4系统设计与方案论证............................................5 第三章数字频率计的设计............................................8 3.1系统设计顶层电路原理图........................................8 3.2频率计的VHDL设计.............................................9 第四章软件的测试...............................................15 4.1测试的环境——MAX+plusII.....................................15 4.2调试和器件编程...............................................15 4.3频率测试.....................................................16

毕业设计数字频率计的设计论文

数字频率计的设计 摘要:本论文是一种直接用十进制数字来显示被测信号频率的测量装置。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。该频率计是首先将被测信号变成脉冲信号,其重复频率等于被测频率。时钟电路提供标准的时间脉冲信号。闸门电路由标准秒信号进行控制,当闸门信号为高电平时,闸门开通,被测信号的脉冲通过闸门送入计数显示电路进行显示;当闸门信号为低电平时,闸门关断,计数器没有时钟脉冲输出,计数器停止计数。 关键词:频率显示闸门秒信号 引言 随着无线电技术的发展与普及,“频率”已成为广大群众所熟悉的物理量。调节收音机上的频率刻度盘可以使我们选听到自己所喜欢的电台节目;调节电视机上的微调旋钮可使电视机对准电视台的广播频率,获得图像清晰的收看效果,这些已成为人们的生活常识。 人们在日常生活、工作中更离不开计时。学校何时上、下课?工厂几时上、下班等这些都涉及到计时。频率、时间的应用,在当代高科技中显得尤为重要。例如,邮电通讯,大地测量,地震预报等等,都与频率、时间密切相关,只是其精密度和准确度比人们日常生活中的要求高得多罢了。 本次设计主要采用计数法制成一个测量范围在0~9999Hz的频率计。该频率计闸门信号的采样时间为1s,并采用4位数码管显示。它不仅可以测量正弦波、方波、三角波的频率,而且还可以测量其它各种单位时间内变化的物理量的频率。 一、数字频率计的组成 数字频率计电路主要由串联型稳压电源、整形电路、10分频电路、时钟电路、闸门形成及控制电路、计数显示电路等组成。

电路组成框图1-1如下: 待测信号整形电路10分频电路闸门形成及控制电路 串联型稳压电源时钟电路计数显示电路 电路组成框图1-1 二、设计所用集成电路简介 1.集成电路NE555概述 NE555是一种集模拟、数字于一体的中规模集成电路,它常应用于信号的产生与变化、电路的检测与控制。芯片采用双列直插式封装,有八个管脚。NE555引脚图2-1和功能如下 图2-1 引出端功能符号: TR: 置位控置制端,也称电平触发端 RD: 复位端,低电平有效 Q: 电路的输出端 CO: 电压控制端 TH: 复位控制端 DIS: 放电端 Vcc: 电源端 GND: 接地脚 2.集成电路CD4518概述 集成电路CD4518是一个双BCD码加法计数器。它有两个时钟输

基于51单片机的数字频率计毕业论文

基于51单片机的数字频率计 目录 第1节引言 (2) 1.1数字频率计概述 (2) 1.2频率测量仪的设计思路与频率的计算 (2) 1.3基本设计原理 (3) 第2节数字频率计(低频)的硬件结构设计 (4) 2.1系统硬件的构成 (4) 2.2系统工作原理图 (4) 2.3AT89C51单片机及其引脚说明 (5) 2.4信号调理及放大整形模块 (7) 2.5时基信号产生电路 (7) 2.6显示模块 (8) 第3节软件设计 (12) 3.1 定时计数 (12) 3.2 量程转换 (12) 3.3 BCD转换 (12) 3.4 LCD显示 (12) 第4节结束语 (13) 参考文献 (14) 附录汇编源程序代码 (15)

基于51单片机的数字频率计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量围从1Hz—10kHz的正弦波、方波、三角波,时基宽度为1us,10us,100us,1ms。用单片机实现自动测量功能。 基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。 1.2频率测量仪的设计思路与频率的计算 频率测量仪的设计思路主要是:对信号分频,测量一个或几个被测量信号周期中已知标准频率信号的周期个数,进而测量出该信号频率的大小,其原理如右图1所示。 1 图可知: T=NT o 为标准信号的周期,所以T为分频后信号的周期,则可以算出被测量信(注:T o

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

电子数字频率计测量方法毕业论文

电子数字频率计测量方法毕业论文 1绪论 1.1研究背景及主要研究意义 频率是电子技术领域永恒的话题,电子技术领域离不开频率,一旦离开频率,电子技术的发展是不可想象的,为了得到性能更好的电子系统,科研人员在不断的研究频率,CPU就是用频率的高低来评价性能的好坏,可见,频率在电子系统中的重要性。 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器,其最基本的工作原理为:当被测信号在特定的时间段T的周期个数N时,则被测信号的频率f=N/T.电子计数器是一种基础测量仪器,到目前为止已有三十多年的发展历史。早期,设计师们追求的目标主要是扩展测量围,再加上提高测量精度、稳定度等,这些也是人们衡量电子计算机的技术水平,决定电子技术器价格高低的主要依据。目前这些技术日臻完善,成熟。应用现代技术可以轻松地将电子计数器的频率扩展到微波频段。 1.2数字频率计的发展现状 随着科学技术的发展,用户对电子计数器也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对中高档产品,则要求有较高的分辨率,高精度,高稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,统计分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正地实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率围不断扩大,功能不断增加。在测试通讯、微波器件或产品时,通常都市较复杂的信号,如含有复杂频率成分、调制的含有未知频率分量的、频率固定的变化的、纯净的或叠加有干扰的等等。为了能正确的测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。微波技术器一般使用类型频谱分析仪的分频或混频电路,另外还包含多个时间基准、合成器、中频放大器等。虽然所有的微波计数器都是用来完成技术任务的,但各自厂家都有各自的一套复

简易数字频率计的设计_毕业设计论文

简易数字频率计的设计 摘要 本文对基于单片机的数字频率计系统进行了研究。 首先在绪论中介绍了本课题的课题背景、研究意义及完成的功能。本系统是以单片机的基本语言C语言来进行软件设计,51的编程语言常用的有二种,一种是汇编语言,一种是C 语言。汇编语言的机器代码生成效率很高但可读性却并不强,复杂一点的程序就更是难读懂,而C 语言在大多数情况下其机器代码生成效率和汇编语言相当,但可读性和可移植性却远远超过汇编语言,而且C 语言还可以嵌入汇编来解决高时效性的代码编写问题。综合以上C 语言的优点,我在编写本系统程序时选择了C 语言。正文中首先介绍了系统的总体设计思路,然后简单描述系统硬件工作原理,且附以系统硬件设计框图;接着具体描述了系统的软、硬件设计,仿真结果,误差分析;最后对本次设计做出了简单的总结、并且提出一些教学建议,文档还附上了本次系统设计的电路原理图、PCB图及元器件清单。 本文撰写的主导思想是软、硬件相结合,以硬件为基础,来进行各功能模块的编写。 关键词:单片机;编程;系统设计

ABSTRACT In this paper, based on single chip digital frequency meter systems were studied. First described in the introduction the subject of this topic background, research significance and complete functions. The system is based on SCM's basic language C language for software design, programming language commonly used in 51 there are two, one is in assembly language, one is the C language. Assembly language code generation highly efficient machine readable, but they are not strong, complex process that is even more difficult to read, while the C language, in most cases, the efficiency of its machine code generation and assembly language equivalent, but readable and portability is far more than assembly language, but can also be embedded in C language compilation to solve the time-sensitive nature of coding problems. To sum up the advantages of C language, I am in the preparation of the system selected C language program. First introduced the system in the body of the overall design idea, and then a brief description of system hardware works, and attached to the system hardware design block diagram; then specifically describes the system's software and hardware design, simulation results, error analysis; Finally, to make this design a simple summary, and some teaching suggestions, the document is also attached to this sub-system design, circuit schematics, PCB drawings and parts lists. This article written by the leading idea is that hardware and software combined with hardware-based, to the preparation of various functional modules. Keywords: microcontroller; programming; system design

数字频率计毕业设计论文摘要

数字频率计毕业设计论文 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。 本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:由NE556构成一个秒信号,攫取单位时间内进入计数器的脉冲个数;时基信号:产生一个秒信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD 码译码在数码管显示出来。设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。 关键词:频率,集成电路,译码电路,计数电路,双稳态触发器 Digital frequency meter design Student: Teacher:

Abstract: In the digital circuit, the digital cymometer is the circuit of time sequence, it is mainly formed by trigger with memory function. In the computer and various digital instruments, it is widely used . Among CMOS circuit serial products, cymometer consumption most heavy, variety a lot of product. The digital cymometer is a measuring instrument in scientific research such as computer , communication apparatus , audio and video with indispensable production field, and the measurement scheme with a lot of electric parameters , result of measuring all have a very close relation, so, the measurement of frequency seems even more important. This subject has mainly explained that chooses integrated circuit as the key device, has designed a simple and easy digital cymometer, regard trigger and counter as core , input , separate by signal frank , touch off , count circuit , data processing , data reveal module of function make up. Enlarge the circuit of integrated type: To be carried on the preconditioning by the signal of examining; The circuit of the gate : Formed a second signal by NE556, seize the pulse number of entering the counter in unit time; The base signal of hour: Produce the signal for one second; The decipher circuit of the counter : Count deciphers and integrate on the chip together, count the pulse number in unit time, count the result of the decimal counter to translate into BCD yard; Reveal : In charge of revealing BCD one yard of deciphers in the number . Design adopt module design method, adopt appropriate enlarge and whole, have improved frequency of designing. Keywords: frequency,Integrated circuit,Translate the coding electric circuit,Count the electric circuit,Dual Schmitt Trigger. 目录 摘要 I Abstract..................................................................II 1 绪论 (1) 1.1 数字频率计的发展现状及研究概况 (1) 1.2 本课题研究背景及主要研究意义 (1) 1.3 本课题主要研究内容 (2)

简单数字频率计的设计与制作

简单数字频率计的设计与制作 1结构设计与方案选择 1.1设计要求 (1)要求用直接测量法测量输入信号的频率 (2)输入信号的频率为1~9999HZ 1.2设计原理及方案 数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。 所谓频率就是在单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T(1-1)据此,设计方案框图如图1所示: 图1 数字频率计组成框图 图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率fX。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则们控电路的输出信号持续时间亦准确的等于1s。闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。秒信号结束时闸门关闭,技计数器得的脉冲数N是在1秒时间内的累计数,所以被测频率fX= N Hz。 被测信号fX经整形电路变成计数器所要求的脉冲信号○1,其频率与被测信号的频率相同。时基电路提供标准时间基准信号○2,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。若在闸门时间1s内计数器计得的脉冲个

数为N,则被测信号频率f=NHz,如图2(a)所示,即为数字频率计的组成框图。 图2(a)数字频率计的组成框图 图2(b)数字频率计的工作时序波形 逻辑控制单元的作用有两个: 其一,产生清零脉冲④,使计数器每次从零开始计数; 其二,产生所存信号⑤,是显示器上的数字稳定不变。这些信号之间的时序关系如图2(b)所示数字频率计由脉冲形成电路、时基电路、闸门电路、计数锁存和清零电路、译码显示电路组成。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

数字频率计的设计要点

目录 摘要 (Ⅱ) Abstract (Ⅲ) 第一章绪论 (1) 1.1技术发展 (1) 1.2本课题研究内容 (1) 第二章系统方案设计 (2) 2.1任务分析 (2) 2.2三种方案比较 (2) 2.3方案选择 (4) 第三章系统硬件设计 (5) 3.1总体框图 (5) 3.2单元电路设计与参数选择 (6) 3.2.1时基信号产生及分频电路 (6) 3.2.2放大整形电路 (8) 3.2.3计数译码显示电路 (9) 3.2.4逻辑控制电路 (10) 3.2.5自动换档电路 (11) 3.2.6小数点及指示灯译码显示电路 (12) 第四章系统调试 (13) 4.1测试仪表 (13) 4.2单元电路测试 (13) 4.3系统总体测试 (13) 4.4指标测试分析与改进方法 (14) 总结 (15) 致谢 (16) 参考文献 (17) 附录 (18)

摘要 在结束《电子技术基础》的数电部分和模电部分的课程后,制作简易的数字频率计是相关专业学生必须掌握和实践的一项基本技能。运用已有的数电知识和模电知识,灵活地运用集成芯片和器件,搭建数字频率计的硬件模型,再经过具体地调试,基本实现从待测信号的放大整形,计数到译码显示。其中还包括闸门信号的产生及分频和逻辑控制电路的设计。采用分模块设计和调试的方法,确保数字频率计的各项功能指标。在此次课程设计中主要用到了时序电路,其中时序电路以单稳态电路,D触发器为主,其次,计数及分频部分用到了十进制计数器74LS90和CD4518芯片,译码电路用到了74LS138和CD4511芯片,扩展电路用到了74LS192芯片。通过此次的课程设计,简易的数字频率计能够很快地被做成并实现测量方波,三角波,正弦波等信号的频率,并具有自动换挡和指示量程的功能。 关键词:电子技术;频率计;数电;时序电路;课程设计

简易数字频率计的设计与分析

第18卷第2期山东矿业学院学报(自然科学版)Vol.18№2 1999年6月Journal of Shandong Institute of Mining and T echnology(Natural Science)J un.1999  文章编号:1000-2308(1999)02-0044-06 简易数字频率计的设计与分析Ξ 公茂法 孙皓 吕常智 (山东矿业学院电气工程系) 摘 要:本设计以新型单片机A T89C52为核心,充分利用A T89C52中三个可编程定时/计数器,采用测量N个信号波形周期的算法,实现了频率、周期的高精度测量。 关键词:频率计;单片机 中图分类号:TP368.1 文献标识码:A “简易数字频率计”是第三届(1997年)全国大学生电子设计竞赛试题之一。我院学生就该试题设计获得山东赛区一等奖。原设计有许多不完善之处,考虑到此题具有一定实用价值,特经完善后,整理成文。 1 设计要求 1.1 基本要求 (1)频率测量: a.测量范围:信号:方波、正弦波 幅度:0.5V~5V 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量: a.测量范围:信号:方波、正弦波 幅度:0.5V~5V 频率:1Hz~1MHz b.测试误差≤0.1% (3)脉冲宽度测量: a.测量范围:信号:脉冲波 幅度:0.5V~5V 频率:1Hz~1MHz b.测试误差≤0.1% (4)显示:十进制数字显示,显示刷新时间1~10秒连续可调,对上述三种测量功能分别用不同颜色的发光二极管指示。 (5)具有自校功能,时标信号频率为1MHz。 (6)自行设计并制作满足本设计任务要求的稳压电源。 1.2 发挥部分: (1)扩展频率测量范围为0.1Hz~10MHz(信号幅度0.5V~5V),测试误差降低为0.01%(最大闸门时间≤10s)。 (2)测量并显示周期脉冲信号(幅度0.5V~5V、频率1Hz~1kHz)的占空比,占空比变化范围为10%~90%,测试误差≤0.1%。 (3)在1Hz~10MHz范围内及测试误差≤0. 1%的条件下,进行小信号的频率测量,提出并实现抗干扰的措施。 2 设计方案选择: 方案一:选用频率计专用模块。该方案在技术上是可行的,但竞赛规则规定不能采用频率计专用模块。即使允许使用,对于设计要求中的某些指标,采用专用模块来完成也是困难的。 方案二:采用逻辑芯片和可编程器件实现。 Ξ收稿日期:1998-09-04 作者简介:公茂法:(19592),男,山东蒙阴人,副教授,硕士,从事仪器仪表研究. 济南 250031.

相关主题
文本预览
相关文档 最新文档