第十届全国研究生集成电路电子设计竞赛试题

  • 格式:doc
  • 大小:127.29 KB
  • 文档页数:12

下载文档原格式

  / 12
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第十届全国研究生集成电路电子设计竞赛试题

2013年8月

1.试简略讨论SRAM-FPGA、反熔丝FPGA、EEPROM的CPLD的结构特征,并指出:(5分)

(1)在相同规模的条件下,哪一种可能的资源利用率最高,为什么?

(2)在相同的逻辑实现条件下,哪一种逻辑实现时的电路时延可估算性最好。为什么?(3)在相同的资源利用的条件下,FPGA和CPLD,哪一种复杂逻辑的实现灵活性好,为什么?

2.WAP是由哪几个英文字组成的?写出其原文,并说出它是当前哪两大应用领域所共同关注的焦点问题。W AP的两个最大的优点是什么?(2分)

3.在采用FPGA或CPLD作为目标器件,来实现你的逻辑设计时,其选择的原则是什么,主要从哪几个限制因素去考虑。(3分)

4.当前,微电子芯片技术和其它领域技术相结合的典型成功范例主要在哪两大领域?(2分)

5.流水线技术提高系统处理速度同时也会造成输出滞后,并且需要额外的寄存器资源。因此有人认为,如果用FPGA来实现电路逻辑,宜采用流水线方式,而如使用CPLD来实现路逻辑,往往不必采用流水线方式,为什么?(3分)

6.信息时代的突然来临,使信息安全问题更为引人关注。而传统的密钥加密方法通过不断加长密钥长度来提高系统密级的方法变得越来越不安全,而且此法对图像、视频、声音等多媒体信息也力不从心。近年来,出现了_______(四个字)技术。它的优点是可以把毫____(两个字)的内容隐藏到一件作品中。我们这里所说的作品,可以包括文字、图像、视频和声音等信息。(2分)

7.测试考虑是ASIC设计中的最棘手的问题之一,如果说设计的可测性是指完整的测试程序的生成和执行的有效性,那么,评价一个设计的可测性的基本要素有哪些?(3分)8.数字信号处理器DSP技术中,其具体内容是对信号进行_____(两字),____(两字),_____(两字),_____(两字)等加工处理。其应用最多的四种算法:卷积、相关、变换及级数处理,都可以用对两数进行_____(两字)后,再求___(壹字)的通用公式表达出来。(5分)

9.如下构造体代码是描述异步复位的D触发器,试改为同步复位形式。(3分)

architecture rexample of dff_logic is

begin

process(clk,reset)begin

if reset=`0` then

q<=d;

elsif rising_edge(clk) then

q<=d;

end if ;

end process;

end rexample;

10.请说出ADSL的中文意思(越简单越好),并用一句话说出它最可能使用在哪个领域(最

好给出其带宽的量级)。(1分)

11.如下VHDL描述中存在多个错误,请指出并予修正。(5分)

library ieee; --line1

use ieee.std_logic_1164.all; --line 2

entity terminal_count is port(--line 3 clock,reset,enable in bit; --line 4

data: in std_logic_vector(7 down to 0); --line 5

equals, term_cnt;out std_logic); --line 6 end terminal_count; --line 7

architecture terminal_count of terminal_count is --line 8

signal count; std_logic_vector(7 down to 0); --line 9

begin --line 10

compare;process --line 11 begin --line 12

if data=count then --line 13

equals=‘1’--line 14

end if; --line 15

end process; --line 16

--line 17 counter;process(clk) --line 18

begin --line 19

if reset=‘1’then --line 20

count<="111111111"; --line 21

elsif rising_edge(clock) then --line 22

count<=count + 1; --line 23

end if --line 24

end process; --line 25

--line 26

term_cnt<= ‘Z’ when enable=‘0’else --line 27

‘1’ when count="1-------"else --line 28

‘0’; --line 29 end terminal_count; --line 30 12.使用CMOS集成电路时,请分别说出对或门、或非门、与门和与非门:1)其多余的输

入端应如何处理?2)对多余门的输入端和输出端又如何处理?3)对其输入信号的电压幅度和输入信号电流有什么要求?4)对其电源有什么限制?(6分)

13.三位计数器的VHDL描述如下,试对其建立测试平台(Test-Bench)(6分)

library ieeee;

use ieee.std_logic_1164.all;

package mycntpkg is

component count port(clk,rst;in std_logic;

cnt; inout std_logic_vector(2 downto 0));

end component;

end mycntpkg;

library ieee;