当前位置:文档之家› 四相顺序脉冲发生器1

四相顺序脉冲发生器1

四相顺序脉冲发生器1
四相顺序脉冲发生器1

电子创新设计论文

题目:四相顺序脉冲发生器设计制作

班级: D09电气自动化一班

姓名:姜伟华李烨华

学号: 0903210118 0903210119 指导教师:于强赵波

2010年11月

摘要

本系统采用自动脉冲发生技术,控制过程是利用74ls系列中的00.160.90.138.139及对应集成块座构成的系统。通过与非门控制信号输出。由于使用了自动脉冲发生技术,该系统具有可靠性好,精度高等优点。

关键字:顺序脉冲时钟脉冲触发器计数器

Abstract

The system adopts the automatic pulse generating technology, control process is to use the 74ls series 00.160.90.138.139 and corresponding system consisting of integrated blocks seat. Through and sr control signal output. By using automatic pulse generating technology, the system has good reliability, high precision of advantages.

Key word: order pulse clock pulse flip-flop counter

目录

一、引言....................................... 错误!未定义书签。

二、设计要求 (6)

三、系统设计与理论分析 (7)

3.1电源模块 (7)

3.2计数器模块 (8)

3.3转换电路模块 (9)

3.4延时模块 (9)

3.5发光二极管模块 (10)

四、主要硬件流程图 (11)

五、结论 (13)

六、总结体会 (14)

七、附主要程序清单 (15)

十、【参考文献】 (16)

附件原理图

一、引言

产品的发展趋势往往决定于以下两个因素:一是产品的使用者(用户)的需要的不断发展;二是产品的技术的发展。前者是外因,后者是内因。直流电源产品也基本遵从这样的规律,市场需求和产品技术不断推动和促进产品的发展,也决定了直流电源产品的发展速度和方向。直流稳压电源(DC Power Supply)一般分成线性电源及开关电源,线性电源因受变压器体积、重量限制,故一般功率不大、重量重,开关电源则因采用开关切换方式,功率较大,但纹波也大。

随着经济的发展,人民生活水平的提高越来越多的高科技电子产品走入千家万户已成为我们生活中必不可少的一部分。我们的日常生活用电器越来越多,脉冲信号作为自动控制必不可少的触发信号在生产生活中发挥着重要的作用。脉冲发生器已广泛应用到科技、电子、化工、建筑、机械、生物、信息管理等领域,脉冲发生器发展前景十分广阔,能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,脉冲发生器可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统。开发研制高性能脉冲发生器势在必行。

二、设计要求

设计一个四相顺序脉冲发生器,四相输出端循环输出1110、1101、1011、0111。

1.基本要求

a.根据题意设计制作硬件电路;

b.输出变量驱动发光二极管,用发光二极管来表示输出编码;

c.集成块的驱动电源也要求设计制作,但不做性能指标要求;

d.由于时间原因时钟电路可不做,由实验箱提供。

2.发挥部分

a. 设计制作一个2Hz的时钟发生电路。

经分析题目,设计原理如下:

三、系统设计与理论分析

. 3.1电源模块

脉冲的电源电路主要由直流电源电路,功率放大电路,开关电路组成。放电脉冲的产生过程如下,首先是脉冲发生器产生高频参数化的脉冲信号,经过光耦的隔离后,由功率推动电路进行功率放大,从而控制高频开关管的通断。高频开关管的另一端接的是直流电源,直流电经过开关管的通断而产生高频的放电加工脉冲电源。

3.2 计数器模块

表为74LS160的功能表和引脚功能说明

电路的工作顺序是1110→1101→1011→0111。当计数器计到状态1101时,进位端TC为1,经非门为0。于是,下一个时钟到来时,将P3 ~ P0 端的数据1110送入计数器,此后又从1110开始计数,一直计数到0111,又重复上述程。

3.3 转换电路模块

74ls138为3线-8线译码器,共有54/74ls138和54/74ls138两种线路结构型式,其工作原理是:当一个选通端(E3)为高电平,另两个选通端(E1)和(E2)为低电平时,可将地址端(A0、A1、A2)的二进制编码在一个对应的输出端以低电平译出。利用E1、E2、和E3可级联扩展成24线译码器;若外接一个反相器还可级联扩展成32线译码器。

71LS138有三个附加的控制端。当、时,输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。

3.4 延时模块

定时器是根据时钟脉冲累积计时的,时钟脉冲有1ms、10ms、100ms 等不同规格。(定时器的工作过程实际上是对时钟脉冲计数)因工作需要,定时器除了占有自己编号的存储器位外,还占有一个设定值寄存器(字),一个当前值寄存器(字)。设定值寄存器(字)存储编程时赋值的计时时间设定值。当前值寄存器记录计时当前值。这些寄存器为16位二进制存储器。其最大值乘以定时器的计时单位值即是定时器的最大计时范围值。定时器满足计时条件开始计时,当前值寄存器则开始计数,当前值与设定值相等时定时器动作,起常开触点接通,常闭触点断开,并通过程序作用于控制对象,达到时间控制的目的。定时器相当于继电器电路中的时间继电器,可在程序中作延时控制。

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空

时,则电压比较器C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端TR 的电压小于VCC /3,则比较器C2 的输出为0,可使RS 触发器置1,使输出端OUT=1。如果阈值输入端TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则C1 的输出为0,C2 的输出为1,可将RS 触发器置0,使输出为0 电平。

3.5 发光二极管模块

发光二极管简称为LED。由镓(Ga)与砷(AS)、磷(P)的化合物制成的二极管,当电子与空穴复合时能辐射出可见光,因而可以用来制成发光二极管,在电路及仪器中作为指示灯,或者组成文字或数字显示。磷砷化镓二极管发红光,磷化镓二极管发绿光,碳化硅二极管发黄光。

它是半导体二极管的一种,可以把电能转化成光能;常简写为LED。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴和由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子和P区的空穴复合,产生自发辐射的荧光。不同的半导体材料中电子和空穴所处的能量状态不同。当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的是发红光、绿光或黄光的二极管。

四、主要硬件流程图

五、结论

四相顺序脉冲发生器可以实现以下功能:

1、输出变量驱动发光二极管,用发光二极管来表示输出编码

2、完成四相输出循环左移的移位顺序脉冲

本设计制作完成了题目要求的基本部分的全部要求和发挥部分的大部分而且部分功能大大高于发挥部分的要求。

目前,在电子仪器,设备中经常用到四相顺序脉冲发生器,有时要求输出可预置,并当负载在一定范围内变化时应具有良好的稳定性,而且精度较高。该设计完全符合了这些要求,如果再经过结构优化,将具有良好的市场前景。

六、总结体会

在这次实训中我们把理论与实践从真正意义上相结合了起来;考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力;从中可以自我测验,认识到自己哪方面有欠缺、不足,以便于在日后的学习中得以改进、提高。

课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

经过一个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。

七、附主要程序清单

八、【参考文献】

[1] 全国大学生电子设计设计竞赛组委员会.《全国大学生电子设计竞赛训练教程[M]》.

北京电子工业出版社,2005年

[2] 全国大学生电子设计设计竞赛组委员会.《全国大学生电子设计竞赛获奖作品汇编(第

一届~第五届)》[M].北京理工大学出版社,2004年

[3] 李银祥.《数控电流源[R]》.武汉:武汉理工大学测试中心,2001年

[4] 秦迎春.《利用AD7542实现程控电流源[J]》.国外电子元器件,2003,6:32~33

[5] 张智杰.《AD574在数据采集中的应用[J]》.国外电子元器件,2003,6:55~56

[6] 房小翠.《单片机实用系统设计技术[M]》.北京:国防工业出版社,1999年

[7] 周庆.《高稳定电流比较环节引入的纹波分析[J]》.云南大学学报,1992,21:79~81

[8] 刘公志.《新型数字直流电源设计[J].杭州电子工业学院学报》,2004,3(24):19~22

电源电路部分:

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路教学内容

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号

都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X 有关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X 无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。

产生脉冲的程序的PLC程序梯形图

产生脉冲的程序的PLC程序梯形图 (1)周期可调的脉冲信号发生器 如图5-6所示采用定时器TO产生一个周期可调节的连续脉冲。当 X0常开触点闭合后,第一次扫描到 TO常闭触点时,它是闭合的,于是 TO线圈得电,经过1s的延时,TO常闭触点断开。TO常闭触点断开后的下一个扫描周期中,当扫描到TO常闭触点时,因它已断开,使 TO线圈失电,TO常闭触点又随之恢复闭合。这样,在下一个扫描周期扫描到TO常闭触点时,又使TO线圈得电,重复以上动作,TO的常开触点连续闭合、断开,就产生了脉宽为一个扫描周期、脉冲周期为 1s的连续脉冲。改变TO的设定值,就可改变脉冲周期。 @5-6 图5-6周期可调的脉冲信号发生器 a)梯形图b)时序图 (2)占空比可调的脉冲信号发生器 如图5-7所示为采用两个定时器产生连续脉冲信号,脉冲周期为5秒,占空比为3: 2 (接通时间:断开时间)。接通时间3s,由定时器T1设定,断开时间为2s,由定时器TO设定,用丫0作为连续脉冲输出端。

图5-7占空比可调的脉冲信号发生器 a)梯形图b)时序图 (3) 顺序脉冲发生器 如图5-8a 所示为用三个定时器产生一组顺序脉冲的梯形图程序,顺序脉冲波形如图 5-8b 所示。当X4接 通,T40开始延时,同时丫31通电,定时10s 时间到,T40常闭触点断开,丫31断电。T40常开触点闭合,T41 开始延时,同时Y32通电,当T41定时15s 时间到,Y32断电。T41常开触点闭合,T42开始延时.同时Y33通 电,T42定时20s 时间到,丫33断电。如果X4仍接通,重新开始产生顺序脉冲,直至 X4断开。当X4断开时, 所有的定时器全部断电,定时器触点复位,输出 丫31、Y32及丫33全部断电。 X4 T42 T —— K1QC 屈 T40 T —― T40 T --------- m K190 WO T41 T _p T41 十、 T ------

对外部脉冲计数系统的设计计数器课程设计(单片机)

湖南工业大学 课程设计 资料袋 理学学院(系、部)2012 ~ 2013 学年第 1 学期 课程名称单片机应用系统指导教师周玉职称副教授学生姓名张思远专业班级电子科学102 学号 题目对外部脉冲计数系统的设计 成绩起止日期2013 年01 月06 日~2013 年01 月10 日 目录清单 湖南工业大学 课程设计任务书 2012 —2013 学年第1 学期

理学院学院(系、部)电子科学专业102 班级 课程名称:单片机应用系统 设计题目:对外部脉冲计数系统的设计 完成期限:自2013 年01 月06 日至2013 年01 月10 日共 1 周 指导教师(签字):年月日 系(教研室)主任(签字):年月日

附件三 (单片机应用系统) 设计说明书 (题目) 对外部脉冲计数系统的设计 起止日期:2013 年01 月06 日至2013 年01 月10 日学生姓名张思远 班级电子科学102 学号 成绩 指导教师(签字) 电气与信息工程学院 2012年12 月10 日 一、设计任务: 1.1 外部脉冲自动计数,自动显示。 1.1.1设计一个255计数器:0-255计数,计满后自动清0,重 新计数(在数码管中显示)。 1.1.2设计一个50000计数器:0-50000计数,计满后自动清0, 重新计数(在数码管中显示)。 注:要求首先采用PROTEUS完成单片机最小系统的硬件电路 设计及仿真;程序仿真测试通过后,再下载到单片机实训 板上执行。

二、硬件设计介绍: ※STC89C52单片机; ※6位共阴或者共阴极数码管; ※外部晶振电路; ※ISP下载接口(In system program,在系统编程); ※DC+5V电源试配器(选配); ※ISP下载线(选配) ※6个PNP(NPN)三极管 ※12个碳膜电阻 三、硬件设计思路 方案一:五个1位7段数码管,无译码器 方案二:五个1位7段数码管,译码器 方案三:1个6位7段数码管,译码器 方案四:1个6位7段数码管,无译码器 考虑实际中外围设备、资金、单片机资源利用率、节省端口数量,可实行性以及连接方便等问题,采用6为数码管(共阳或者共阴极)由于实际中没买到6位的,采用2个三位数码管并接组合一个6位数码管形式;由于实际P口驱动能力有限,故采用6位三极管增大驱动能力,已便足以使得6位数码管亮度明显正常工作,增加6个电阻限流保护数码管不被烧坏。让数码管a-g7段分别接P1.6—P1.0,6位位选分别接P2.5—P2.0。 方法一:共阴极数码管 硬件图1.0所示:通过npn管放大后,段选高电平有效,位选低电平有效 图1.0共阴极数码管硬件原理图 方法二:共阳极数码管 原理图如图2.0:段选低电平有效,位选低电平有效(通过pnp管连接,不再是高电平有效了,由于特意此接法,共阳极共阴极数码管只是差别段选控制,为程序修改提供极大的方便之处,故程序只需要修改段选地址即可,实现共阳极共阴极互换) 图2.0共阳极数码管硬件原理图 三、程序设计思路: 由于设计是255和50000计数器,对于计数器工作模式二,TL最大值为255,可以实现对255计数,但是对于50000得另寻他路,为了建立不限制计数器模型,改进程序的可更改性可移植性可读行,对计数器模式二另TL1=0FFH(以

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

四相顺序脉冲发生器1

电子创新设计论文 题目:四相顺序脉冲发生器设计制作 班级: D09电气自动化一班 姓名:姜伟华李烨华 学号: 0903210118 0903210119 指导教师:于强赵波 2010年11月

摘要 本系统采用自动脉冲发生技术,控制过程是利用74ls系列中的00.160.90.138.139及对应集成块座构成的系统。通过与非门控制信号输出。由于使用了自动脉冲发生技术,该系统具有可靠性好,精度高等优点。 关键字:顺序脉冲时钟脉冲触发器计数器

Abstract The system adopts the automatic pulse generating technology, control process is to use the 74ls series 00.160.90.138.139 and corresponding system consisting of integrated blocks seat. Through and sr control signal output. By using automatic pulse generating technology, the system has good reliability, high precision of advantages. Key word: order pulse clock pulse flip-flop counter

目录 一、引言....................................... 错误!未定义书签。 二、设计要求 (6) 三、系统设计与理论分析 (7) 3.1电源模块 (7) 3.2计数器模块 (8) 3.3转换电路模块 (9) 3.4延时模块 (9) 3.5发光二极管模块 (10) 四、主要硬件流程图 (11) 五、结论 (13) 六、总结体会 (14) 七、附主要程序清单 (15) 十、【参考文献】 (16) 附件原理图

顺序脉冲产生电路设计

沈阳航空航天大学 课程设计 (说明书) 顺序脉冲产生电路设计 班级计算机1304 学号2013040101178 学生姓名万延正 指导教师孙克梅

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目顺序脉冲产生电路设计 课程设计的内容及要求: 一、设计说明与技术指标 要求设计一个顺序脉冲产生电路,能将预先设定的并行数据转换为串行脉冲输出,具体要求如下: ①电路具有16个按键用来设定输入16个并行数据的高低电平; ②具有启动按键,每按一次启动键,电路就串行输出预先设定的16个数据; ③输出完16个数据位后电路停止,输出恒为0; ④具有输出信号指示灯,表明输出信号的高低电平,灯亮表示1,不亮表示0; ⑤具有时钟信号指示灯,在每个式中信号周期内闪烁一次。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年 2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年 3.孙肖子、邓建国等主编. 电子设计指南. [M]北京:高等教育出版社,2006年 4.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年7 月19 日

一、概述 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。该顺序脉冲由555定时器产生,用16个开关设定输入16个并行数据的高低电平,每次按键,电路就会串行输出预先设定的16个数,输出完16个数据位后电路停止,输出恒为0。该电路具有输出信号指示灯,灯亮的次数表示输入高电平的个数。在每个周期内,时钟指示灯只闪烁一次。 一、方案论证 根据实验要求,我选取两片74LS165芯片将其串联,74LS165芯片是并行输入, 串行输出移位寄存器。从而实现电路具有16个按键用来设定输入16个并行数据的高低电平。电路主要由顺序脉冲产生电路,移位寄存电路,状态指示电路,电源电路组成。原理图如图1所示: 图1 总电路框架图 二、电路设计 1、时钟脉冲产生电路如图2所示。 图2 时钟脉冲产生电路

单片机脉冲计数器程序汇编

单片机脉冲计数器 1、设计内容 用单片机实现对一路脉冲计数和显示的功能。硬件包括单片机最小系统、LED显示、控制按钮;软件实现检测到显示 2、要求 计数范围0~2000; 脉冲输入有光电隔离整形, 有清零按钮 程序如下: ORG 0000H LJMP MAIN ORG 0003H LJMP 0100H ORG 0013H LJMP 0150H ORG 0050H MAIN: CLR A MOV 30H , A ;初始化缓存区 MOV 31H , A MOV 32H , A MOV 33H , A MOV R6 , A MOV R7 , A SETB EA SETB EX0 SETB EX1 SETB IT0 SETB IT1 SETB PX1 NEXT1: ACALL HEXTOBCDD ;调用数制转换子程序 ACALL DISPLAY ;调用显示子程序 LJMP NEXT1 ORG 0100H ;中断0服务程序 MOV A , R7 ADD A , #1 MOV R7, A MOV A , R6 ADDC A , #0 MOV R6 , A CJNE R6 , #07H , NEXT CLR A MOV R6 , A MOV R7 , A NEXT: RETI

ORG 0150H ;中断1服务程序 CLR A MOV R6 , A MOV R7 , A RETI ORG 0200H HEXTOBCDD:MOV A , R6 ;由十六进制转化为十进制PUSH ACC MOV A , R7 PUSH ACC MOV A , R2 PUSH ACC CLR A MOV R3 , A MOV R4 , A MOV R5 , A MOV R2 , #10H HB3: MOV A , R7 ;将十六进制中最高位移入进位位中RLC A MOV R7 , A MOV A , R6 RLC A MOV R6 , A MOV A , R5 ;每位数加上本身相当于将这个数乘以2 ADDC A , R5 DA A MOV R5 , A MOV A , R4 ADDC A , R4 DA A ;十进制调整 MOV R4 , A MOV A , R3 ADDC A , R3 DJNZ R2 , HB3 POP ACC MOV R2 , A POP ACC MOV R7 , A POP ACC MOV R6 , A RET ORG 0250H DISPLAY: MOV R0 , #30H MOV A , R5

单片机课程设计外部脉冲计数器

目录 摘要:单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统。本课程设计的指导思想是控制单片机实现从0到99的计数功能,其结果显示在两位一体的共阳极数码管上。 关键词:脉冲计数器数码管单片机 本设计基于单片机技术原理,以单片机芯片STC89C52作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计制作出一个计数器,包括以下功能:输出脉冲,按下键就开始计数,并将数值显示在两位一体的共阳极数码管上。 1课题原理 PCB板上设置开始计数按键和清零按键,以上按键与89C52单片机的P1口连接,通过查询按键是否被按下来判断进行计数或者清零。若按下计数健,则单片机控制两位一体的共阳极数码管显示从00开始的数字,按下一次,则数字加一,一次类推;若按下清零键,则程序返回程序开始处,并且数码管显示00。

2 硬件及软件设计 2.1 硬件系统 2.1.1 硬件系统设计 此设计是在单片机最小系统的基础上进行开发和拓展,增加了按键电路和和数码管显示电路,由于单片机输出电流不足以驱动数码管发光,所以数码管需要驱动电路。我们采用了三极管对数码管电流进行放大,使电流大小达到要求值。 2.1.2 单元电路设计 基本框架如下图2.1 2.1基本框架

1.STC89C52芯片 STC89C52RC芯片包括: 8k字节 Flash,512字节RAM, 32位I/O口 线,看门狗定时器,两个数据指针, 三个16位定时器/计数器,一个6向 量2级中断结构,全双工串行口,片 内晶振及时钟电路。STC89C52RC芯片 可降至0Hz静态逻辑操作,时钟频率 0-80MHz,支持2种软件可选择节电 模式。空闲模式下,CPU停止工作, 允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM 内容被保存,振荡器被冻结,单片 机一切工作停止,直到下一个中断或 硬件复位为止。8位微控制器8K字节 在系统可编程。芯片如图2.4所示。 图2.4 STC89C52芯片 2.按键电路 K1键为启动键,K2键为清零键,K3键为计数键,通过按钮的连接,实现开始、计数清零功能,连接电路如图2.5所示。 图2.5 按键电路

顺序脉冲发生器

单元7 顺序脉冲发生器及其应用 7-1 基本理论: 顺序脉冲发生器原理 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。 F7-1 异步计数器构成顺序脉冲发生器 顺序脉冲发生器一般由计数器和译码器两部分组成。 1.异步计数器构成的顺序脉冲发生器 图F7-1是异步计数器构成的顺序脉冲发生器。 Y0=/Q2?/Q1?/Q0 Y1= /Q2 ?/Q1 ?Q0 Y2=/Q2?Q1 ?/Q0 Y3=/Q2?Q1?Q0 Y4=Q2 ?/Q1 ?/Q0 顺序脉冲发生器输出串脉冲Y0、Y1、Y2、Y3、Y4的周期由计数器的进制决定,控制执行机构操作时间的长短由驱动计数器的时钟CLK脉冲的周期决定。 由异步计数器构成的分配器有可能在输出端产生竞争冒险现象。在图F7-1的电路中,由于时钟到来时,各触发器不是同时翻转,每当有两个以上的触发器翻转,就会产生冒险干扰。 如当计数器从001变为010时,若触发器U IA先翻转为0,U2A后翻转为1,那么将出现一个短暂的000状态,Y0将出现一个窄脉冲。这种冒险干扰脉冲,如不加以抑制或消除,就可能造成

误动作。 Y4 Q2Q1Y3 Q0Y2 23Y0 18 4 05 7CLK 6顺序脉冲发生器波形图Y1 顺序脉冲发生器的时序图 要克服竞争冒险现象,通常的是改变计数器的电路形式,如采用环形计数器、扭环计数器。 2. 由扭环计数器构成的顺序脉冲发生器 图F7-2是数控插补器中的顺序脉冲发生器电路。在数控中做插补运算时,每走一步,都要进行以下四个节拍:判别、进给、运算、判别,这四个节拍分别用t1 、t2、t3、t4表示。其波形图如下。根据时序图可以看出,有11个计数状态。需要六位扭环计数器,构成11进制计数器。 clk 12 3 t4 4 t35 t26 t17 8 9 10 11 12 13 插补器的时序图 其状态表如后表。

步进电机脉冲发生器

步进电机脉冲发生器(步进电机控制用)MTPG2,可替代PLC进行简洁控制。 MTPG2在MTPG基础上全面升级,增加了三项功能: 1.倍频功能,2密码保护,3.参数恢复 供电电源: AC/DC 100-250V 辅助输出电源:DC12V 100mA(供传感器使用) 输出信号频率:12Hz~30kHz(占空比1:1时) 整机功耗: 小于4W NPN开漏输出容量: 200mA/30VDC 输入信号电压:低电平≤2V高电平≥4V 外形尺寸: 72×72×95 整机重量: 230g 输入阻抗:≥4.7K 使用环境:温度0~60C° 相对湿度≤85% 保存温度:-20~85C° 安装方式:卡入式 -------------------------------------------------------------------------------- 两路NPN型晶体管开漏输出 OUT1与OUT2输出对应连接步进电机驱动器的CP和DIR端子(有些是CW和CCW) 可设定输出走动步数、运动速度和运动方向 可设定多段数:0-15段 每段步数设定范围0~59999 (如Sd---0设定10000 , 表示0段需要走动10000步) 每段脉冲输出频率和方向可设定(nFnnnn 如1F5000,表示正转,速度5000Hz) 输出频率范围:12-9999Hz(乘以倍频数) 方向设置:0 无脉冲输出(停顿计时) 1 输出脉冲、正向运动 2 输出脉冲、反向运动 -------------------------------------------------------------------------------- 多个输入功能端子 K/P端子:与+12V端子连接时,开始运行,断开停止运行; RESET端子:输入信号时,控制器复位到开始段,并从新开始运行; CP1和CP2为多功能端子:可连续循环运行、强制运行下一段和单段触发等多种功能;-------------------------------------------------------------------------------- 运行模式控制: rn---1表示为连续运行模式,依次连续运行完设定的所以各段; rn---2表示为单段运行模式,运行完一段,暂停一次,CP2输入控制运行下一段; rn---3:开关控制运行模式:RESET端子无功能;CP1连接0V已SD---0段的速度和方向运

51单片机计数程序

/**************程序说明********************* 硬件说明只需要将15脚接口接入外部的脉冲信号,15脚为定时器T1的外部信号输入引脚 通过定时器T0定时指定的时间来测取脉冲的个数并在1602液晶上显示出来 假设定时1s则数据变成为信号的频率 *************************************************** *****/ #include #include //定时器0负责定时30s 定时器1负责计数功能 #define uint unsigned int #define uchar unsigned char sbit RS=P2^6; //液晶的电路定义 sbit E=P2^5; unsigned char table[3]; unsigned int t0;

void delay_ms(uint z) //毫秒级延时 { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } /******液晶部分子程序*************************/ void write_com(unsigned char com) { //操作时序 RS=0; P0=com; delay_ms(5); E=1; delay_ms(5); E=0; } void write_data(unsigned char shuju) { //操作时序

RS=1; P0=shuju; delay_ms(5); E=1; delay_ms(5); E=0; } void LCDintial() { delay_ms(5); E=0; write_com(0x38); write_com(0x0c); write_com(0x06); write_com(0x01); write_com(0x80); } /**********定时器初始化程序***************/ void T0T1_init() { EA=1;

Verilog HDL 之 顺序脉冲发生器

/****************************** 分频模块*************************************/ `timescale 1 ns / 1 ps module qu_dou ( clk ,rst , a ,b ); input clk ; wire clk ; input rst ; input a ; wire a ; output b ; reg b ; reg [31:0] cnt ; reg clkout ; always @ ( posedge clk or negedge rst ) begin if ( rst == 1'b0 ) cnt <= 0 ; else begin if ( a==1'b1 ) begin if ( cnt >= 32'd3000000 ) b <= 1 ; else cnt <= cnt + 1'b1 ; end else begin b <= 1'b0 ; cnt <= 0 ; end end end endmodule `timescale 1 ns / 1 ps module pulsegen ( Q ,clr ,clk ,sysclk , rst); input clr ; wire clr ; input clk ; wire clk ;

input sysclk ; wire sysclk ; input rst ; wire rst ; output [7:0] Q ; wire [7:0] Q ; reg [7:0] temp ; reg x; /***************** 例化去抖模块*************************************/ wire clk_r ; qu_dou qu_dou ( .clk (sysclk) , .rst (rst) , .a (clk), .b (clk_r)); //******************************************************************** assign Q =temp; always @ ( posedge clk_r or posedge clr ) begin if ( clr==1) begin temp <= 8'b00000001; x= 0 ; end else begin x<= temp[7] ; temp <= temp<<1 ; temp[0] <=x; end end endmodule

单片机的定时及计数器实验-脉冲计数器

实验六单片机定时、计数器实验2——脉冲计数器 一、实验目的 1.AT89C51有两个定时/计数器,本实验中,定时/计数器1(T1)作定时器用,定时1s;定时/计数器0(T0)作计数器用。被计数的外部输入脉冲信号从单片机的P3.4(T0)接入,单片机将在1s内对脉冲计数并送四位数码管实时显示,最大计数值为0FFFFH。 2.用proteus设计、仿真基于AT89C51单片机的脉冲计数器。 3.学会使用VSM虚拟计数/计时器。 二、电路设计 1.从PROTEUS库中选取元件 ①AT89C51:单片机; ②RES:电阻; ③7SEG-BCD- GRN:七段BCD绿色数码管; ④CAP、CAP-ELEC:电容、电解电容; ⑤CRYSTAL:晶振; SEG-COM- GRN为带段译码器的数码管,其引脚逻辑状态如图所示。

对着显示的正方向,从左到右各引脚的权码为8、4、2、1。 2.放置元器件 3.放置电源和地 4.连线 5.元器件属性设置 6.电气检测 7.虚拟检测仪器 (1)VSM虚拟示波器 单击小工具栏中的按钮,在对象选择器列表中单击COUNTER(计 数/计时器),打开其属性编辑框,单击运行模式的下拉菜单,如图所示,可选择计时、频率、计数模式,当前设置其为频率计工作方式。 (2)数字时钟DCLOCK 单击按钮,在对象选择器中选择DCLOCK(数字时钟)。在需要添加信 号的线或终端单击即可完成添加DCLOCK输入信号。当前信号设置为DIGITAL型的时钟CLOCK,频率为50K。 三、源程序设计、生成目标代码文件 1.流程图 2.源程序设计 通过菜单“sourc e→Add/Remove Source Files…”新建源程序文件:DZC36.ASM。 通过菜单“sourc e→DZC36.ASM”,打开PROTEUS提供的文本编辑器SRCEDIT,在其中编辑源程序。 程序编辑好后,单击按钮存入文件DZC32.ASM。 3.源程序编译汇编、生成目标代码文件 通过菜单“sourc e→Build All”编译汇编源程序,生成目标代码文件。

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

利用MAX-PLUSII完成微程序控制器中环形脉冲发生器的设计

龙源期刊网 https://www.doczj.com/doc/1512364407.html, 利用MAX-PLUSII完成微程序控制器中环形脉冲发生器的设计 作者:谷赫 来源:《商情》2008年第43期 【摘要】本文介绍了微程序控制器中时序信号的产生过程,并利用Max+PlusⅡ软件对逻辑功能进行验证,通过仿真设计,可以直观的看到设计效果,得到预计中的环型脉冲。该软件的应用是对硬件课程的教学方法的一个改进。 【关键词】Max+PlusⅡ仿真时序信号环型脉冲 1 前言 随着计算机的广泛应用和教学条件的不断改善,教学方式由以前单一的传统教学演变成计算机辅助教学模式。对应于一些软件语言类课程,在开发环境下,学生可以看到直观的效果。然而,对于一些硬件课程,由于课堂环境的限制,不能在所需的实验设备上看到直观的效果,大大抑制了学生的学习兴趣,使实践环节和理论缺乏连贯性。为此,我们采用Max+PlusⅡ软件的设计系统来仿真一些电路的逻辑功能,使理论与仿真验证相结合,克服传统教学中的不足,激发了学生的学习积极性和创造性,培养了学生的实践能力,有效地提高了教学质量,将抽象的逻辑教学形象化和直观化。 本文借助MAX-PLUSII软件设计完成微程序控制器中环形脉冲发生器的设计。 2 Max+PlusⅡ软件介绍 Max+PlusⅡ是美国Altera公司推出的纯西文的复合可编程逻辑器件,它具有完全集成化的易学、易用的可视化设计环境,可运行在多种平台上。它包括逻辑输入、功能模拟、编译、同步模拟、编译烧录等过程。本软件的主要作用是仿真和综合,通过原理图输入方式建立电路,编译后通过仿真对电路功能进行测试验证。使用的设计者不需要精通器件内部的复杂结构,可以用自己熟悉的设计工具建立设计,把这些设计自动转换成最终所需的格式,设计速度快,是被公认的最易使用,人机界面最有善的PLD开发软件。 3 微程序控制器的时序信号 众所周知,计算机系统的核心部件是中央处理器,也就是我们平常所说的CPU,而CPU 又是由运算器、控制器和寄存器组构成的。这些部分能够帮助计算机完成指令控制,操作控

单片机脉冲计数

单片机实验报告 班级:自动0903 姓名:

一.软件实验 实验题目:脉冲计数(定时/计数器实验) 1,实验目的:熟悉单片机内部定时/计数器功能,掌握初始化编程方法。 2,实验内容:把外部中断0输入的脉冲进行计数,并送数码管显示。 仿真电路图如下所示:设定频率发生器为50Hz 程序如下: #include sbit P2_0=P2^0; //数码管选定位 sbit P2_1=P2^1; sbit P2_2=P2^2; sbit P2_3=P2^3; unsigned char code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,

0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; unsigned int motorspeed; unsigned char GE,SHI,BAI,QIAN; unsigned int counter=0; //脉冲数 unsigned int calsp; //设定多长时间计算一次 void display(); //数码管显示 void delay(); //延迟函数 void calspeed(); void main() { EA=1; //开启总中断 EX0=1; //开启外部中断0 IT0=1; //设置成下降沿触发方式 TMOD=0x01; //设置定时器0为模式1,即16位计数模式 TH0=(65536-10000)/256; //计数时间为10ms TL0=(65536-10000)%256; ET0=1; //开启定时器0中断 TR0=1; //启动定时器0 P2=P2&0xf0; while(1) { display(); calspeed(); } } void calspeed() { if(calsp>=100) //100*10ms=1s计算一次 { motorspeed=counter; counter=0; //清零脉冲数 calsp=0; //清零标志 } } void _TIMER0() interrupt 1 { TH0=(65536-10000)/256; //重新装入初值,计数时间为10ms TL0=(65536-10000)%256; calsp++; }

单片机实验 脉冲计数和电脑时钟程序

南昌航空大学实验报告 二0一一年九月二十九日 课程名称:单片微型机实验名称:脉冲计数和电脑时钟程序 班级: 080611 学生姓名:学号: 08061108 指导教师评定:签名: 一、实验目的 1、熟悉8031定时/计数功能,掌握定时/计数初始化编程方法; 2、熟悉MCS—51定时器、串行口和中断初始化编程方法,了解定时器应用在实时控制中程序的设计技巧; 3、编写程序,从DVCC系列单片机实验仪键盘上输入时间初值,用定时器产生0.1S定时中断,对时钟计数器计数,并将数值实时地送数码管显示。 二、实验内容及要求 1、脉冲计数 对定时器0外部输入的脉冲进行计数,并送显示器显示。程序框图如下: 图1 二进制转换子程序 2、电脑时钟程序程序 程序框图如下:

图2 定时中断服务程序 三、实验步骤及操作结果 1、脉冲计数程序 (1) 当DVCC 单片机仿真实验系统独立工作时 1) 把8032CPU 的P3.4插孔接T0—T7任一根信号线或单脉冲输出空“SP ”。 2)用连续方式从起始地址02A0H 开始运行程序(按02A0后按EXEC 键)。 3)观察数码管显示的内容应为脉冲个数。 (2) 脉冲计数程序(源文件名:Cont .Asm )。汇编程序代码如下: ORG 02A0H CONT: MOV SP,#53H MOV TMOD,#05H ;初始化定时/计数器 MOV TH0,#00H MOV TL0,#00H SETB TR0 ;允许定时/计数中断 CONT1: MOV R2,TH0 ;取计数值 MOV R3,TL0 LCALL CONT2 ;调二转十进制子程序 MOV R0,#79H MOV A,R6 LCALL PWOR MOV A,R5 LCALL PWOR MOV A,R4 LCALL PWOR LCALL DISP ;调显示子程序 SJMP CONT1 ;循环 CONT2: CLR A ;清R4、R5、R6 MOV R4,A MOV R5,A MOV R6,A MOV R7,#10H CONT3: CLR C ;R2、R3左移,移出的位送CY MOV A,R3 RLC A

利用Quartus设计顺序脉冲发生器

Quartus II实验 (顺序脉冲发生器,序列信号的产生和检测) 实验目的 (1)熟悉Quartus II 软件的使用方法,了解硬件描述语言VHDL (2)练习使用软件仿真的方法,设计数字逻辑电路 (3)掌握基于FPGA实现数字系统过程 (4)学习用功能仿真和时序仿真波形测试所设计的系统 实验原理 一、现代数字系统的设计方法 随着可编程器件的出现和计算机技术的发展,使EDA 技术得到了广泛应用,设计 方法也因此发生了根本性的变化。由传统的“自底向上”的设计方法转变为一种新 的“自顶向下”设计方法,其设计流程如下:第一步,进行行为设计,确定电子系 统或ASIC 芯片的功能、性能及允许的芯片面积和成本等。第二步,进行结构设计, 根据电子系统或芯片的特点,将分解为接口清晰、相互关系明确、尽可能简单的子 系统,得到一个总体结构。第三步,把结构转化为逻辑图,即进行逻辑设计。在这 一步中,希望尽可能采用规则的逻辑结构或采用已经经过验证的逻辑单元或模块。 第四步,进行电路设计,将逻辑图转化为电路图。最后一步是进行ASIC 的版图设 计,即将电路转换成版图,或者用可编程ASIC 实现。 二、FPGA的特点及设计软件 FPGA通称为可编程逻辑器件,它们都是在PLA ,PAL 和GAL等逻辑器件的基础上发展 起来的,主要有Altera公司和Xilinx公司生产的系列产品,比起早期的可编程逻辑器 件来,它们容量更大,速度更快。许多生产可编程器件的公司为了推广它们产品都有 自已的开发软件,其中应用较广的有Altera 公司的MAX+pluaII软件,它有以下主要优 点: (1) 开放的接口; (2) 与结构无关的设计开发环境,具有强大的逻辑综合与优化功能; (3) 支持各种HDL输入选项, 支持VHDL 硬件描述语言; (4) 全集成化的输入开发环境; (5) 丰富的设计库资源; (6)支持原理图,文本和波形等多种输入方法; (7)有丰富的在 线帮助功能。因此特别适合初学者使用,可作为数字电路系统的设计和开发软件。 在指导学生进行课程设计时,应先学习MAX+pluaII软件和VHDL 语言的编程方 法,掌握CPLD/FPGA 实验开发系统的使用。

用单片机计数脉冲的个数-数码管显示汇编程序

用单片机计数脉冲的个数-数码管显示汇编程序 位数码管用2个573接到p0口,位选p2 段选P0口:点这里采用定时器来记录脉冲并用数码管输出以下是源代码:;******** 用数码管来计数脉冲的个数********************************** ORG 0000H JMP MAIN ORG 000BH JMP TT0 ORG 001BH JMP TT1 MOV 23H,#00H MOV 22H,#00H MOV 21H,#00H MOV 24H,#10 CALL IIP CALL IIP CALL IIP MOV SP,70H;=====主程序区==================================== MAIN: MOV TMOD,#01100010B MOV TH1,#255 MOV TL1,#255 MOV IE,#10001010B MOV TH0,#00H MOV TL0,#00H SETB TR0 SETB TR1 JMP $;======分解计数程序=================================== TT1:CALL IIP INC 20H MOV A,20H MOV B,#100 DIV AB MOV 21H,A MOV A,B MOV B,#10 DIV AB MOV 22H,A MOV 23H,B RETI;======数码管显示程序区==================================== IIP: MOV A,23H CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#10111111b SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS;================================================== MOV A,22H CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#11011111B SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS;=================================================== MOV A,21H CALL T10MS CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#11101111B SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS RET;=====定时0程序区====================================== TT0: DJNZ 24H,LOOP_9 CPL

相关主题
文本预览
相关文档 最新文档