课程设计实验报告 北邮
- 格式:doc
- 大小:11.30 MB
- 文档页数:35
北邮实验报告北邮实验报告一、引言北邮实验报告是北邮学子们在学习和科研过程中的重要一环。
通过实验报告,学生们能够将理论知识与实际操作相结合,提高实践能力和科学素养。
本文将探讨北邮实验报告的重要性、写作技巧以及对学生综合能力的培养。
二、北邮实验报告的重要性1. 培养学生的实践能力北邮实验报告是学生进行实验的重要成果之一。
通过实验报告的撰写,学生们能够将实验过程中的观察、实验数据和实验结果进行整理和分析,培养学生的实践能力。
实验报告要求学生具备实验设计、数据处理和结果解读等能力,这些能力对于学生未来的科研和工作都具有重要意义。
2. 提高学生的科学素养实验报告要求学生在撰写过程中对实验原理和相关理论进行理解和运用。
通过对实验原理的学习和实际操作的实践,学生们能够提高科学素养,深化对学科知识的理解和掌握。
实验报告还要求学生进行数据统计和结果分析,这有助于学生培养科学思维和逻辑思维能力。
三、北邮实验报告的写作技巧1. 清晰明了的结构实验报告的写作需要有清晰明了的结构,包括引言、实验目的、实验原理、实验步骤、实验结果和结论等部分。
每个部分要有明确的标题,以便读者能够快速理解报告的内容。
同时,各部分之间要有合理的过渡,使整篇报告具有逻辑性。
2. 简洁准确的语言实验报告要求使用简洁准确的语言进行描述。
学生们应该避免使用过多的修饰词和冗长的句子,以免使读者产生困惑。
同时,学生们要注意使用科技词汇和专业术语,以确保报告的准确性和专业性。
3. 数据处理和结果分析实验报告的数据处理和结果分析是重要的部分。
学生们应该学会使用合适的统计方法对实验数据进行处理,并能够对结果进行合理解释。
在结果分析中,学生们可以对实验结果和理论知识进行对比和讨论,以展示对学科知识的理解和运用能力。
四、北邮实验报告对学生综合能力的培养1. 科研能力的培养北邮实验报告要求学生进行实验设计和实验操作,培养学生的科研能力。
通过实验报告的撰写,学生们能够学会科学地制定实验方案,独立完成实验操作,并对实验结果进行分析和总结。
实验名称:程序设计实验实验时间:2023年X月X日实验地点:北邮计算机实验室一、实验目的1. 熟悉C语言编程环境,掌握基本的程序设计方法。
2. 通过实际编程,提高逻辑思维和问题解决能力。
3. 理解算法设计的重要性,掌握常用的算法设计方法。
二、实验内容本次实验主要分为以下几个部分:1. 编写一个计算两个整数相加的程序。
2. 编写一个计算两个整数相减的程序。
3. 编写一个计算两个整数相乘的程序。
4. 编写一个计算两个整数相除的程序(要求考虑除数为0的情况)。
5. 编写一个判断两个整数是否相等的程序。
三、实验步骤1. 打开C语言编程环境,创建一个新的项目。
2. 编写计算两个整数相加的程序:```c#include <stdio.h>int main() {int a, b, sum;printf("请输入两个整数:\n");scanf("%d %d", &a, &b);sum = a + b;printf("两个整数相加的结果为:%d\n", sum); return 0;}```3. 编写计算两个整数相减的程序:```c#include <stdio.h>int main() {int a, b, sub;printf("请输入两个整数:\n");scanf("%d %d", &a, &b);sub = a - b;printf("两个整数相减的结果为:%d\n", sub); return 0;}```4. 编写计算两个整数相乘的程序:```c#include <stdio.h>int main() {int a, b, mul;printf("请输入两个整数:\n");scanf("%d %d", &a, &b);mul = a b;printf("两个整数相乘的结果为:%d\n", mul);return 0;}```5. 编写计算两个整数相除的程序(考虑除数为0的情况):```c#include <stdio.h>int main() {int a, b, div;printf("请输入两个整数:\n");scanf("%d %d", &a, &b);if (b == 0) {printf("除数不能为0,请重新输入。
北邮程序设计实践报告1.课题概述1.1课题⽬标和主要内容课程以培养学⽣动⼿实践能⼒为⽬的,重点是在学习C++程序设计和数据结构的基础上,使学⽣从实践中学习如何综合运⽤C++和数据结构的相关知识解决实际应⽤中的问题,了解程序设计的基本思想在具体实际应⽤中的体现,掌握分析问题、解决问题的⽅法,从⽽提⾼学⽣的编程能⼒,达到编程熟练、会调试、代码书写规范的基本要求,具备简单应⽤程序的设计、实现能⼒。
本次试验使⽤VS2008 的API平台1.2系统的主要功能简单的绘图程序,可以画出不同颜⾊的直线,矩形,圆形等。
2. 系统设计2.1 系统总体框架2.2 系统详细设计[1] 模块划分图及描述[2] 类关系图及描述[3] 程序流程图及描述2.3 关键算法分析算法1:窗⼝过程函数[1] 算法功能当按下菜单,或者点击窗⼝时,窗⼝需要运⾏这个消息处理函数。
[2] 算法基本思想在API⾥定义了⼀个函数为回调函数,当系统需要向窗⼝发送消息时,就会调⽤窗⼝给出的回调函数WindowProc,如果WindowProc函数不处理这个消息,就可以把它转向DefWindowProc函数来处理,这是系统的默认消息处理函数。
[3]代码逻辑LRESULT CALLBACK ccc_win_proc(HWND hwnd, //窗⼝句柄UINT message,WPARAM wParam,LPARAM lParam){ PAINTSTRUCT ps; // the display's paint struct HDC mainwin_hdc; //设备描述表static int menuId=0;int wmId = LOWORD(wParam);int wmEvent = HIWORD(wParam);switch (message) {case WM_CREATE:SetTimer(hwnd,1,1000,NULL);break;case WM_TIMER:InvalidateRect(hwnd,NULL,TRUE);break;case WM_COMMAND: //处理菜单消息switch (wmId) {case IDM_FIG1:menuId=IDM_FIG1;InvalidateRect(hwnd,NULL,TRUE);break;case IDM_FIG2:……………………} break;case WM_PAINT: //窗⼝刷新消息mainwin_hdc = BeginPaint(hwnd, &ps); //UpdateRegion为空//ccc_win_main(); //调⽤⽤户的绘图程序if (menuId){ cwin.open(hwnd, mainwin_hdc);switch (menuId){ case IDM_FIG1:……绘图程序……………………}EndPaint(hwnd, &ps); break;case WM_LBUTTONDOWN:getp1();break;case WM_LBUTTONUP:getp2();break;case WM_DESTROY:PostQuitMessage(0);break;default: // 调⽤默认的消息处理函数, 对其他的消息进⾏处理return DefWindowProc(hwnd, message, wParam, lParam); }return 0; }算法2:绘图函数(以矩形为例)[1] 算法功能运⾏函数时,绘出相应图形。
通信原理课程设计报告直扩通信系统的simulink仿真摘要:本次通原课程设计,我们利用MATLAB中的simulink仿真平台对直接序列扩频通信系统进行了仿真。
本报告首先简要介绍了直接序列扩频通信的原理与simukink工具箱,然后分别仿真了直扩系统的发射机和接收机,并对仿真结果进行分析。
最后我们仿真并分析了直扩系统抗窄带干扰,多径干扰及多址干扰的能力。
关键词:simulink仿真;直接序列扩频;抗干扰分析目录第一章概述 (3)1.1直扩通信系统介绍 (3)1.2 simulink仿真平台介绍 (3)第二章直扩系统simulink仿真搭建 (4)2.1 发射端仿真 (4)2.2 接受端仿真 (6)第三章直扩通信系统抗干扰性能分析 (9)3.1 直扩系统抗窄带干扰 (9)3.2 直扩系统抗多径干扰 (11)3.3 直扩系统抗多址干扰 (13)第四章问题与总结 (15)第五章小组分工说明 (15)参考文献 (16)第一章概述1.1直扩通信系统介绍扩频通信是利用扩频信号传送信息的一种通信方式。
扩频信号的频谱宽度比信源信息带宽大很多。
扩频信号具有良好的相关特性,包括尖锐的自相关特性和低值的互相关特性。
这些特性使扩频通信具有良好的抗干扰能力和隐蔽性。
频带的扩展是通过一个独立的码序列来完成的,用编码及调制的方法来实现,与所传信息数据无关;在接收端则用同样的码进行相关同步接收、解扩及恢复所传信息数据。
扩频的主要方式有两种,包括直接序列扩频和跳频。
直接序列扩频,简称直扩。
所传送的信息符号经伪随机序列编码后对载波进行调制。
伪随机序列的速率远大于要传送信息的速率,因而调制后的信号频谱宽度将远大于所传送信息的频谱宽度。
图1 为直扩系统的组成框图。
由信源输出的信号与伪随机码产生器产生的伪随机码(PN 码)进行相加,产生速率与伪随机码速率相同的扩频序列, 然后再用扩频序列去调制载波。
在接收端, 接收到的扩频信号经高放和混频后, 用与发端同步的伪随机序列对中频扩频调制信号进行相关解扩,然后再进行解调,恢复出所传输的信息。
实验三:交通灯控制一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。
控制这些交通灯,使它们按下列规律亮,灭。
VHDL源代码:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY light isport (restfunc,emergency,clk: in std_logic;--restfunc为复位信号,emergency为紧急信号,clk为50kHz频率时钟light : out std_logic_vector(11 downto 0));--12个指示灯ARCHITECTURE func of light istype state is (s1,s2,s3,s4); --交通灯4个状态signal current_state,next_state: state;--current_state,next_state为别为当前状态,转移状态signal en1,en2,en3,en4, c,c1,c2,c3,c4: std_logic;--en为使能信号,c为进位信号signal temp1: integer range 0 to 49999;signal temp2: integer range 0 to 99999;signal temp3: integer range 0 to 249999;signal temp4: integer range 0 to 9999;begin--1s计数器,对50kHz进行50000分频process(clk,en1)beginif (clk'event and clk='1') then --上升沿判断if (temp1=49999 and en1='1' and emergency='0') thentemp1<=0;c1<='1';--进位elsif (en1='1' and emergency='0') thentemp1<=temp1+1;c1<='0';end if;end if;if (en1='0') thenc1<='0';temp1<=0;end if;end process;process(clk,en2)--2s计数器,对50kHz进行100000分频beginif (clk'event and clk='1') thenif (temp2=99999 and en2='1' and emergency='0') thentemp2<=0;c2<='1';elsif (en2='1'and emergency='0') thentemp2<=temp2+1;c2<='0';end if;end if;if (en2='0') thenc2<='0';temp2<=0;end if;end process;process(clk,en3)--5s计数器,对50kHz进行250000分频beginif (clk'event and clk='1') thenif (temp3=249999 and en3='1' and emergency='0') thentemp3<=0;c3<='1';elsif (en3='1' and emergency='0') thentemp3<=temp3+1;c3<='0';end if;end if;if (en3='0') thentemp3<=0;c3<='0';end if;end process;process(clk,en4) --0.2s计数器,对50kHz进行10000分频beginif (clk'event and clk='1') thenif (temp4=9999 and en4='1') thentemp4<=0;c4<=not c4;elsif (en4='1') thentemp4<=temp4+1;end if;end if;end process;c<=c1 or c2 or c3; --进位信号process (c,restfunc) --状态转移,复位情况beginif (restfunc='1') then--复位信号current_state<=s1;elsif (c'event and c='0') then--遇到计数器进位转移到下一状态current_state<=next_state;end if;end process;process (current_state,emergency) --状态转移,紧急情况beginif(emergency='1') then--紧急状态红灯全亮light<="000000001111";elsecase current_state iswhen s1=> --东西方向绿灯亮,南北方向红灯亮,延时5秒en1<='0';en2<='0';light<="010*********";en3<='1';next_state<=s2; --继续下一状态,下同when s2=>--东西方向黄灯闪,南北方向红灯亮,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 7 )<="00000";light(6)<=c4;light(5)<='0';light(4)<=c4;light(3 downto 0)<="1010";next_state<=s3;when s3=>--东西方向红灯亮,南北方向绿灯亮,延时5秒en2<='0';en4<='0';en3<='1';light<="101000000101";next_state<=s4;when s4=> --东西方向红灯闪,南北方向黄灯闪,延时2秒en3<='0';en2<='1';en4<='1';light(11 downto 8 )<="0000";light(7)<=c4; --闪灯控制light(6)<='0';light(5)<=c4;light(4 downto 0)<="00101";next_state<=s1;end case;end if;end process;end func;四、实验小结依旧注意4个分频。
大学物理实验课程设计实验报告姓名:郑友行学号:10211388 班级:309重力加速度的测定一、实验任务:精确测定北京地区的重力加速度二、实验要求:测量结果的相对不确定度不超过5%三、物理模型的建立及比较初步确定有以下六种模型方案:方法一、用打点计时器测量所用仪器为:打点计时器、直尺、带钱夹的铁架台、纸带、夹子、重物、学生电源等. 利用自由落体原理使重物做自由落体运动.选择理想纸带,找出起始点0,数出时间为t的P点,用米尺测出OP的距离为h,其中t=0.02秒×两点间隔数.由公式h=gt2/2得g=2h/t2,将所测代入即可求得g.方法二、用滴水法测重力加速度调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个(n取50—100)水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2.方法三、取半径为R的玻璃杯,内装适当的液体,固定在旋转台上.旋转台绕其对称轴以角速度ω匀速旋转,这时液体相对于玻璃杯的形状为旋转抛物面重力加速度的计算公式推导如下:取液面上任一液元A,它距转轴为x,质量为m,受重力mg、弹力N.由动力学知:Ncosα-mg=0(1)Nsinα=mω2x(2)两式相比得tgα=ω2x/g,又tgα=dy/dx,∴dy=ω2xdx/g,∴y/x=ω2x/2g.∴g=ω2x2/2y. .将某点对于对称轴和垂直于对称轴最低点的直角坐标系的坐标x、y测出,将转台转速ω代入即可求得g.方法四、光电控制计时法调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个(n取50—100)水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2.方法五、用圆锥摆测量所用仪器为:米尺、秒表、单摆. 使单摆的摆锤在水平面内作匀速圆周运动,用直尺测量出h(见图1),用秒表测出摆锥n转所用的时间t,则摆锥角速度ω=2πn/t 摆锥作匀速圆周运动的向心力F=mgtgθ,而tgθ=r/h所以mgtgθ=mω2r由以上几式得:g=4π2n2h/t2. 将所测的n、t、h代入即可求得g值.方法六、单摆法测量重力加速度在摆角很小时,摆动周期为:则通过对以上六种方法的比较,本想尝试利用光电控制计时法来测量,但因为实验室器材不全,故该方法无法进行;对其他几种方法反复比较,用单摆法测量重力加速度原理、方法都比较简单且最熟悉,仪器在实验室也很齐全,故利用该方法来测最为顺利,从而可以得到更为精确的值。
北邮数电实验报告北邮数电实验报告一、引言数电实验是电子信息类专业学生必修的一门实验课程,通过实践操作,帮助学生巩固理论知识,培养实际动手能力。
本次实验旨在通过设计和搭建一个简单的数字电路,来理解数字电路的基本原理和工作方式。
二、实验目的本次实验的目的是设计一个4位二进制加法器,实现两个4位二进制数的相加运算。
通过实验,我们可以加深对于数字电路的理解,掌握数字电路的设计和搭建方法。
三、实验原理1. 二进制加法器二进制加法器是一种用于计算二进制数相加的数字电路。
它由若干个逻辑门和触发器组成,可以实现二进制数的加法运算。
在本次实验中,我们将设计一个4位二进制加法器,即可以计算两个4位二进制数的相加结果。
2. 逻辑门逻辑门是数字电路中常用的基本元件,用于实现逻辑运算。
常见的逻辑门有与门、或门、非门、异或门等。
在本次实验中,我们将使用与门和异或门来构建4位二进制加法器。
四、实验步骤1. 设计4位二进制加法器的电路图根据实验要求,我们需要设计一个能够计算两个4位二进制数相加的电路。
首先,我们可以将两个4位二进制数分别用D0~D3和E0~E3表示,其中D0和E0分别为最低位。
然后,我们需要使用与门和异或门来实现加法器的功能。
通过逻辑运算,我们可以得到每一位的和以及进位。
最后,将每一位的和连接起来,即可得到最终的结果。
2. 搭建电路根据电路图,我们可以开始搭建实验电路。
首先,将所需的逻辑门和触发器连接起来,形成一个完整的电路。
然后,将所需的输入信号和电源连接到电路上。
最后,使用示波器等工具检查电路的工作状态,确保电路正常运行。
3. 进行实验测试在搭建好电路后,我们可以进行实验测试。
首先,将两个4位二进制数的输入信号连接到电路上。
然后,通过观察输出信号,判断电路是否正确计算了两个二进制数的相加结果。
如果输出信号与预期结果一致,说明电路设计和搭建成功。
五、实验结果与分析在进行实验测试后,我们可以得到实验结果。
通过观察输出信号,我们可以判断电路是否正确计算了两个二进制数的相加结果。
实验名称:编程基础实验实验日期:2023年X月X日实验地点:北邮计算机实验室实验指导教师:XXX老师实验目的:1. 熟悉编程环境,掌握基本的编程技能。
2. 理解编程的基本概念和语法规则。
3. 培养逻辑思维和问题解决能力。
实验内容:本次实验主要涉及以下几个方面:1. 编程环境搭建2. 基本语法学习3. 编程实践实验步骤:一、编程环境搭建1. 安装编程软件:首先,在北邮计算机实验室的计算机上安装了Visual Studio Code,这是一个功能强大的代码编辑器,可以支持多种编程语言。
2. 配置环境变量:为了方便调用编程软件,我们将环境变量设置好,使得在命令行中输入软件名称即可启动。
二、基本语法学习1. 数据类型:学习了整型、浮点型、字符型等基本数据类型,并掌握了它们的特点和适用场景。
2. 运算符:了解了算术运算符、关系运算符、逻辑运算符等,并学会了如何使用它们进行简单的计算和判断。
3. 控制语句:学习了if-else语句、for循环、while循环等,掌握了如何控制程序的执行流程。
4. 函数:了解了函数的定义、调用和参数传递,学会了如何封装代码,提高代码的可读性和可重用性。
三、编程实践1. 编写计算器程序:根据所学知识,编写了一个简单的计算器程序,实现了加减乘除等基本运算。
2. 编写排序算法:学习了冒泡排序、选择排序、插入排序等基本排序算法,并实现了它们的代码。
3. 编写学生信息管理系统:根据实际需求,设计并实现了一个学生信息管理系统,包括添加、删除、修改和查询学生信息等功能。
实验结果:通过本次实验,我成功完成了以下任务:1. 熟悉了编程环境,掌握了基本的编程技能。
2. 理解了编程的基本概念和语法规则。
3. 培养了逻辑思维和问题解决能力。
实验总结:1. 编程环境搭建:在北邮计算机实验室,我成功安装了Visual Studio Code编程软件,并配置了环境变量。
2. 基本语法学习:通过学习,我掌握了数据类型、运算符、控制语句和函数等基本语法,为后续编程实践打下了基础。
北京邮电大学课程设计报告注:评语要体现每个学生的工作情况,可以加页。
计算机组成原理课程设计实验报告采用硬布线控制器的顺序模型处理器设计与调试一、实验目的(1)融会贯通计算机组成原理各章节教学内容,通过知识的综合应用,加深对CPU各模块工作原理及相互联系的认识,建立清晰的整机概念。
(2)掌握硬布线控制器的设计方法。
(3)学习运用可编程逻辑技术进行逻辑设计和调试的基本步骤和方法(4)学习运用VHDL语言,用编写编译下载程序到altera芯片运行。
(5)培养科学研究的独立工作能力,获得工程设计与组装调试的实践经验二、实验设备TEC-8实验系统一台PC计算机一台逻辑笔一只USB下载器一根三、设计与调试任务(1)设计一个硬连线控制器,和TEC-8模型计算机的数据通路结合在一起,构成一个完整的CPU,该CPU要求:能够完成控制台操作:启动程序运行、读存储器、写存储器、读寄存器、写寄存器;能够执行表中的指令,完成规定的操作。
(2)在Quarts下对硬布线控制器设计方案进行编辑和编译。
(3)将编译后的硬布线控制器下载到TEC-8实验台上的EPM7128中,使其成为一个硬布线控制器。
(4)根据指令系统,编写检测硬布线控制器正确性的测试程序,并用测试程序对硬布线控制器在单拍方式下进行调试,直到成功。
四、实验原理(1)硬布线控制器基本原理硬布线控制器是一种把控制部件看作产生专门固定时序控制信号的逻辑电路,这种逻辑电路是一种由门电路和触发器构成的复杂树形逻辑网络。
当执行不同机器指令时,通过激活一系列彼此很不相同的控制信号来实现对指令的解释。
每个微操作控制信号C是一系列输入量的逻辑函数,即用组合逻辑来实现C=f(Im,Mi,Tk,Bj)Im是机器指令操作码译码器的输出信号,Mi是节拍电位信号,Tk是节拍脉冲信号,Bj表示来自执行部件的反馈信息,是状态条件信号。
在TEC-8实验系统中,节拍脉冲信号Tk,(T1~T3)已经直接输送给数据通路。
北邮软件实验报告北邮软件实验报告一、引言软件实验是计算机科学与技术专业的重要课程之一,旨在培养学生的软件开发能力和解决问题的能力。
本次实验是北邮软件实验的一部分,通过实践操作和理论学习,掌握软件开发的基本原理和技巧。
本报告将对实验过程进行整理和总结,以便更好地理解和应用所学知识。
二、实验背景本次实验的主题是软件开发,通过一个具体的项目,学生需要完成一个小型软件的设计、开发和测试。
这个项目涉及到数据库管理、用户界面设计和算法实现等多个方面的知识和技能。
通过实践操作,学生可以更好地理解软件开发的流程和方法,提高自己的编程能力和问题解决能力。
三、实验目标本次实验的目标是培养学生的软件开发能力和解决问题的能力。
通过实践操作,学生需要掌握以下技能:1. 熟悉软件开发的基本流程,包括需求分析、设计、编码、测试和维护等阶段;2. 掌握数据库管理的基本原理和技巧,能够设计和操作数据库;3. 理解用户界面设计的基本原则和方法,能够设计和实现用户友好的界面;4. 学会使用编程语言和工具进行软件开发,能够编写高质量的代码;5. 培养团队合作和沟通能力,能够与他人协作完成一个项目。
四、实验过程本次实验的实践操作包括以下几个步骤:1. 需求分析:根据实验要求和项目需求,分析用户需求,明确软件功能和性能要求;2. 设计:根据需求分析结果,设计软件的系统架构、数据库结构和用户界面;3. 编码:使用编程语言和工具,根据设计文档编写代码,实现软件功能;4. 测试:对编写的代码进行测试,确保软件功能正常运行,修复bug;5. 维护:根据用户反馈和需求变化,对软件进行维护和更新。
在实验过程中,我们遇到了一些问题和挑战。
例如,需求分析阶段需要与用户进行沟通和交流,确保理解用户需求的准确性;编码阶段需要仔细编写代码,避免出现错误和漏洞;测试阶段需要充分测试软件的各种功能,确保软件的质量和稳定性。
通过克服这些问题和挑战,我们逐渐掌握了软件开发的技巧和方法。
北邮数电实验报告1. 实验目的本实验的目的是通过实际操作和实验验证,加深对数字电路的理解和掌握。
具体实验内容包括: 1. 实现各种基本逻辑电路(与门、或门、非门、异或门等)的电路设计。
2. 学习使用开关和LED灯进行数字信号输入和输出。
3. 掌握数字电路实验中常用的仪器设备的使用方法。
2. 实验器材和环境本实验所使用的器材和环境如下: - FPGA实验箱 - 数字逻辑集成电路(与门、或门、非门、异或门等) - 电源 - 接线板 - 数字电路实验仪器3. 实验步骤3.1 实验准备首先,我们需要将实验所需的器材连接好,包括将数字逻辑集成电路插入到FPGA实验箱上的插槽中,并将电源正确连接。
3.2 电路设计与布线根据实验要求,我们需要设计不同的基本逻辑电路。
比如,要设计一个与门电路,可以通过将两个输入端分别与两个开关连接,将输出端连接到一个LED灯上。
其他的逻辑电路同样可以设计类似的方式。
在设计和布线的过程中,需要注意保持电路的连通性,并避免出现短路等问题。
3.3 输入和输出信号设置根据实验要求,我们需要设置输入和输出信号。
可以通过控制开关的开合状态来设置输入信号,然后观察LED灯的亮灭情况来判断输出信号的状态是否符合预期。
3.4 实验数据记录和分析在实验过程中,我们需要记录每个逻辑电路的输入和输出信号状态,并进行分析。
可以通过绘制真值表或者逻辑门表来记录并分析数据。
4. 实验结果与分析根据实验步骤中记录的数据,我们可以得出实验结果,并进行进一步的分析。
比如,可以通过比对设计的逻辑电路输出和预期输出的差异,来判断实验是否成功完成。
5. 总结与反思通过本次实验,我深入了解和掌握了数字电路的基本原理和实验方法。
通过设计和实验验证,加深了对基本逻辑电路的理解,并熟悉了数字电路实验所使用的仪器设备。
在实验过程中,我遇到了一些问题,比如电路连接错误导致的信号不稳定等,但通过仔细调试和排查,最终解决了这些问题。
北邮数字逻辑课程设计实验报告(可编辑)(文档可以直接使用,也可根据实际需要修改使用,可编辑推荐下载)实验四:电子钟显示一、实验目的(1)掌握较复杂的逻辑设计和调试。
(2)学习用原理图+VHDL语言设计逻辑电路。
(3)学习数字电路模块层次设计。
(4)掌握ispLEVER 软件的使用方法。
(5)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容数字显示电子钟1、任务要求(1)、时钟的“时”要求用两位显示;上、下午用发光管作为标志;(2)、时钟的“分”、“秒”要求各用两位显示;(3)、整个系统要有校时部分(可以手动,也可以自动),校时时不能产生进位;(4)*、系统要有闹钟部分,声音要响5秒(可以是一声一声的响,也可以连续响)。
VHDL源代码:LIBRARY ieee;----主体部分-ENTITY clock isport(clk,clr,put,clk1 : in std_logic; -- clr 为清零信号,put 为置数脉冲,clk1 为响铃控制时钟choice : in std_logic; --用来选择时钟状态的脉冲信号lighthour : out std_logic_vector(10 downto 0);lightmin : out std_logic_vector(7 downto 0);lightsec : out std_logic_vector(7 downto 0); --输出显示ring : out std_logic); --响铃信号end clock;--60进制计数器模块ARCHITECTURE func of clock iscomponent counter_60port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(3 downto 0);co : out std_logic);end component;--24进制计数器模块component counter_24port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(6 downto 0));end component;signal sec,a:std_logic; --- 2 分频产生1s信号signal l1,l2,l3:std_logic; ---判定对时间三部分修改signal c1,c2:std_logic; ---进位信号signal load:std_logic_vector(1 downto 0);signal temp:integer range 0 to 2499;signal temp1:integer range 0 to 95; --计数信号signal sec_temp:std_logic_vector(7 downto 0);--总进程beginu1 : counter_60 port map (sec,sec,put,clr,l1,sec_temp(3 downto 0),sec_temp(7 downto 4),c1); u2 : counter_60 port map (c1,sec,put,clr,l2,lightmin(3 downto 0),lightmin(7 downto 4),c2);u3 : counter_24 port map (c2,sec,put,clr,l3,lighthour(3 downto 0),lighthour(10 downto 4)); lightsec(7 downto 0)<=sec_temp(7 downto 0);--状态转换process (choice)beginif (choice'event and choice='1') thencase load iswhen "00" => l1<='0'; --非修改状态l2<='0';l3<='0';load<="01";when "01" => l1<='0'; --此状态下对小时进行修改l2<='0';l3<='1';load<="10";when "10" => l1<='0'; --此状态下对分钟进行修改l2<='1';l3<='0';load<="11";when others => l1<='1'; --此状态下对秒进行修改l2<='0';l3<='0';load<="00";end case;end if;end process;--计数进程process(clk)beginif (clk'event and clk='1') then --分频if (temp=2499) thentemp <= 0;sec<=not sec;elsetemp <= temp+1;end if;end if;end process;--响铃进程process(clk1)beginif(clk1'event and clk1='1') thenif (temp1=95) thentemp1<=0;a<=not a;elsetemp1<=temp1+1;end if;end if;end process;ring<=a when (c2='1' and sec_temp<5 and sec='1') else --5s整点响铃'0';end func;library IEEE;entity counter_60 isport (clock : in std_logic; --计数信号,即低位的进位信号或时钟脉冲信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic; --调表置数信号clr : in std_logic; --清零load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(3 downto 0); --计数器的十位co : out std_logic );end counter_60;if(load=1 ) --防止脉冲产生进位co_ temp<=’0’;architecture func of counter_60 issignal s1_temp: std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(3 downto 0);signal clk,co_temp : std_logic;beginclk<=clock when load='0' elseputust;process (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "0000";elsif (clk'event and clk='1')then --进位判断if (s1_temp=9) thens1_temp <= "0000";if (s10_temp=5) thens10_temp <= "0000";co_temp<='1';elseco_temp<='0';s10_temp <= s10_temp+1;end if;elseco_temp<='0';s1_temp <= s1_temp+1;end if;end process;s1 <= s1_temp when (clk_1s='1'or load='0') else"1111";s10 <= s10_temp when (clk_1s='1' or load='0') else"1111";co <= co_temp when (load='0') else'0';end func;library IEEE;--24进制计数器entity counter_24 isport(clock : in std_logic; --计数信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic;clr : in std_logic; --清零信号load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(6 downto 0)); --计数器的十位end counter_24;architecture func of counter_24 issignal s1_temp : std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(1 downto 0);signal clk : std_logic;beginclk<=clock when load='0' elseprocess (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "00";elsif (clk'event and clk='1') thenif (s1_temp=3 and s10_temp=2) then s1_temp <= "0000";s10_temp <= "00";elsif (s1_temp=9) thens1_temp<="0000";s10_temp<=s10_temp+1;elses1_temp <= s1_temp+1;end if;end if;end process;--显示进程process(s10_temp)beginif (clk_1s='1' or load='0') thencase s10_temp iswhen "00" => s10<="1111110";when "01" => s10<="0110000";when "10" => s10<="1101101";when others => null;end case;elses10<="0000000";end if;end process;s1 <= s1_temp when (clk_1s='1' or load='0') else"1111";end func;四、实验小结:注意当时钟处于被修改状态时,即对时、分、秒的值进行修改时,不应产生进位,产生很多莫名其妙的错误,如修改后有进位(分钟为00)时,或者自行到整点响铃后,再次给脉冲会进位的情况。
北京邮电大学课程设计报告目录实验一:交通灯控制器设计........................................ 实验二:电子钟设计 ............................................. 实验三:药片装瓶系统设计........................................ 附:数字逻辑课程设计调试日志及个人心得体会......................实验一:交通灯控制器设计一、实验目的①学习采用状态机方法设计时序逻辑电路。
②掌握ispLEVER软件的使用方法。
③掌握用VHDL语言设计数字逻辑电路。
④掌握ISP器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B数字电路实验系统一台三、实验内容以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。
控制这些交通灯,使它们按下列规律亮,灭。
(1)初始状态为4个方向的红灯全亮,时间1s。
(2)东,西方向绿灯亮,南,北方向红灯亮。
东,西方向通车,时间5s。
(3)东,西方向黄灯闪烁,南,北方向红灯,时间2s。
(4)东,西方向红灯亮,南,北方向绿灯亮。
南,北方向通车,时间5s。
(5)东,西方向红灯闪烁,南,北方向黄灯闪烁,时间2s。
(6)返回(2),继续运行。
(7)如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。
紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。
四、设计思路(1) 将本实验分为分频,状态计数器,led输出三大模块;(2) 分频模块需要注意到占空比,采用when-else语句;(3) 状态计数器都分为5s,2s,5s,2s,四个状态时间,通过计数器作状态转移;(5) led输出模块的黄灯闪烁可通过2HZ的方波信号实现。
一、实验目的本次实验旨在通过实践操作,加深对数字电路基本原理和设计方法的理解,掌握数字电路实验的基本步骤和实验方法。
通过本次实验,培养学生的动手能力、实验技能和团队合作精神。
二、实验内容1. 实验一:TTL输入与非门74LS00逻辑功能分析(1)实验原理TTL输入与非门74LS00是一种常用的数字逻辑门,具有高抗干扰性和低功耗的特点。
本实验通过对74LS00的逻辑功能进行分析,了解其工作原理和性能指标。
(2)实验步骤① 使用实验箱和实验器材搭建74LS00与非门的实验电路。
② 通过实验箱提供的逻辑开关和指示灯,验证74LS00与非门的逻辑功能。
③ 分析实验结果,总结74LS00与非门的工作原理。
2. 实验二:数字钟设计(1)实验原理数字钟是一种典型的数字电路应用,由组合逻辑电路和时序电路组成。
本实验通过设计一个24小时数字钟,使学生掌握数字电路的基本设计方法。
(2)实验步骤① 分析数字钟的构成,包括分频器电路、时间计数器电路、振荡器电路和数字时钟的计数显示电路。
② 设计分频器电路,实现1Hz的输出信号。
③ 设计时间计数器电路,实现时、分、秒的计数。
④ 设计振荡器电路,产生稳定的时钟信号。
⑤ 设计数字时钟的计数显示电路,实现时、分、秒的显示。
⑥ 组装实验电路,测试数字钟的功能。
3. 实验三:全加器设计(1)实验原理全加器是一种数字电路,用于实现二进制数的加法运算。
本实验通过设计全加器,使学生掌握全加器的工作原理和设计方法。
(2)实验步骤① 分析全加器的逻辑功能,确定输入和输出关系。
② 使用实验箱和实验器材搭建全加器的实验电路。
③ 通过实验箱提供的逻辑开关和指示灯,验证全加器的逻辑功能。
④ 分析实验结果,总结全加器的工作原理。
三、实验结果与分析1. 实验一:TTL输入与非门74LS00逻辑功能分析实验结果表明,74LS00与非门的逻辑功能符合预期,具有良好的抗干扰性和低功耗特点。
2. 实验二:数字钟设计实验结果表明,设计的数字钟能够实现24小时计时,时、分、秒的显示准确,满足实验要求。
北邮实验课程设计一、课程目标知识目标:1. 让学生掌握北邮实验课程中涉及的通信原理基本概念,如信号传输、调制解调等;2. 帮助学生理解通信系统中各个模块的功能及其相互关系;3. 引导学生了解通信技术在现实生活中的应用,提高对通信行业的认识。
技能目标:1. 培养学生运用所学知识分析和解决实际通信问题的能力;2. 提高学生动手实践能力,能够独立完成简单的通信系统搭建和调试;3. 培养学生团队协作和沟通能力,能够有效地在小组内分享观点和交流经验。
情感态度价值观目标:1. 激发学生对通信学科的兴趣,培养主动学习的态度;2. 培养学生面对挑战时的自信心,勇于尝试解决问题;3. 引导学生认识到通信技术对社会发展的积极影响,树立正确的价值观。
分析课程性质、学生特点和教学要求,本课程旨在通过理论与实践相结合的方式,让学生在掌握通信原理知识的基础上,提高实践操作能力和团队协作能力。
课程目标分解为具体的学习成果,以便后续的教学设计和评估,使学生在完成课程后能够达到以上所述的知识、技能和情感态度价值观目标。
二、教学内容1. 通信原理概述:介绍通信系统的基本概念、发展历程和分类,使学生建立通信系统的整体认识。
教材章节:第一章 通信原理概述2. 信号与信道:讲解信号的分类、特性及信道模型,分析信号在传输过程中的影响因素。
教材章节:第二章 信号与信道3. 调制与解调技术:介绍调制解调的基本原理、分类及其在实际通信系统中的应用。
教材章节:第三章 调制与解调技术4. 通信系统模型与性能分析:分析通信系统的模型,介绍性能评价指标及计算方法。
教材章节:第四章 通信系统模型与性能分析5. 通信技术在生活中的应用:通过实例讲解通信技术在日常生活、工业生产等领域的应用。
教材章节:第五章 通信技术应用6. 实践操作:组织学生进行通信系统搭建、调试等实践活动,提高学生的动手能力和实际问题解决能力。
教学内容安排和进度:1. 第1-2周:通信原理概述、信号与信道;2. 第3-4周:调制与解调技术;3. 第5-6周:通信系统模型与性能分析;4. 第7-8周:通信技术应用;5. 第9-10周:实践操作。
北京邮电大学实验报告北京邮电大学实验报告引言:实验是科学研究的基础,通过实验可以验证理论,探索未知。
本篇文章将以北京邮电大学实验为主题,介绍该校在科研实践中的一些成果和经验。
一、实验室建设与管理北京邮电大学实验室建设十分重视安全和环境保护,建立了一套严格的实验室管理制度。
首先,实验室设立了专门的安全管理部门,负责实验室的安全检查和事故处理。
其次,实验室采取了严格的实验室准入制度,对进入实验室的人员进行资质审核和培训,确保实验操作的安全性。
此外,实验室还配备了各种安全设施和应急设备,以应对可能发生的事故。
二、实验课程改革与创新北京邮电大学注重实验课程的改革和创新,通过引入新的实验项目和教学手段,提高学生的实验能力和创新意识。
例如,在通信工程实验课程中,学生不仅要进行基础的实验操作,还需要进行实验设计和创新。
这种实验模式培养了学生的动手能力和问题解决能力,使他们在实践中更好地理解理论知识。
三、科研实践与成果北京邮电大学的科研实践成果丰硕,涵盖了通信工程、计算机科学、电子信息等多个领域。
例如,在通信工程领域,学校的研究团队在无线通信技术方面取得了重要突破,开展了一系列关键技术研究,为我国通信产业的发展做出了重要贡献。
此外,在计算机科学领域,学校的研究人员致力于人工智能和大数据技术的研究,取得了一系列具有国际影响力的研究成果。
四、国际合作与交流北京邮电大学积极开展国际合作与交流,与世界各地的高校和科研机构建立了广泛的合作关系。
通过国际合作,学校的研究人员能够与国际顶尖科学家进行深入交流和合作,共同攻克科学难题。
同时,学校还鼓励学生参加国际学术会议和交流项目,拓宽国际视野,提高学术水平。
五、实验教学与社会服务北京邮电大学实验教学不仅服务于学生的学习,也服务于社会的发展。
学校的实验室向社会开放,接受企业和科研机构的委托进行实验研究和测试。
通过与社会各界的合作,学校能够更好地了解社会需求,培养学生的实践能力,同时也为社会提供了实验技术支持。
一、实验名称:北邮通电实验二、实验目的:1. 了解电路的基本组成和基本原理。
2. 掌握电路元件的正确使用方法。
3. 熟悉电路的搭建与调试过程。
4. 培养实验操作能力和分析问题的能力。
三、实验仪器与材料:1. 电源:直流稳压电源2. 电阻:1kΩ、10kΩ、100kΩ3. 电容:0.1μF、1μF4. 电流表:0-1A5. 电压表:0-20V6. 开关:单刀双掷7. 导线:红色、黑色、蓝色、黄色等8. 螺丝刀、剪刀等辅助工具四、实验原理:通电实验主要是通过搭建一个简单的电路,观察电路中电流和电压的变化情况,从而了解电路的基本组成和原理。
五、实验步骤:1. 搭建电路:1.1. 根据电路图,将电阻、电容、电流表、电压表等元件按照正确的连接方式连接起来。
1.2. 将开关置于断开状态。
1.3. 检查电路连接是否正确,确保没有短路或接触不良的情况。
2. 通电实验:2.1. 将开关置于闭合状态,给电路通电。
2.2. 观察电流表和电压表的示数,记录实验数据。
2.3. 逐渐调整电阻或电容的值,观察电流和电压的变化情况,记录实验数据。
3. 数据分析:3.1. 根据实验数据,分析电路中电流和电压的变化规律。
3.2. 计算电路的电阻、电容、电流和电压等参数。
3.3. 分析实验结果与理论值之间的差异,找出原因。
六、实验结果与分析:1. 电路组成:实验中搭建的电路主要由电源、电阻、电容、电流表、电压表等元件组成。
电路的基本原理是,当电路通电时,电流会流过电阻和电容,从而产生电压和电流。
2. 电流和电压变化规律:2.1. 当电阻或电容的值增大时,电路中的电流减小,电压增大。
2.2. 当电阻或电容的值减小时,电路中的电流增大,电压减小。
2.3. 电流和电压的变化与电阻和电容的值呈反比关系。
3. 实验结果与理论值之间的差异:3.1. 实验结果与理论值之间的差异主要来自于实验误差和电路元件的参数误差。
3.2. 为了减小误差,可以采用以下措施:1. 仔细检查电路连接,确保没有短路或接触不良的情况。
北邮微机课程设计报告一、课程目标知识目标:1. 学生能够理解微机原理的基本概念,掌握微机硬件的基本组成和功能。
2. 学生能够掌握微机操作系统的基本原理和使用方法。
3. 学生能够了解微机编程的基本知识,学会使用一种编程语言进行简单的程序设计。
技能目标:1. 学生能够独立操作微机,进行基本的硬件组装和软件安装。
2. 学生能够运用所学知识解决微机使用过程中遇到的问题。
3. 学生能够运用编程语言编写简单的程序,实现特定功能。
情感态度价值观目标:1. 培养学生对微机技术的好奇心和探索精神,激发学生学习计算机科学的兴趣。
2. 培养学生具备团队协作意识,学会在团队中共同解决问题。
3. 培养学生具备创新思维,敢于尝试新方法,勇于面对挑战。
课程性质:本课程为北邮微机课程设计,旨在通过实践操作,让学生深入了解微机原理、硬件组成、操作系统和编程知识。
学生特点:学生为高年级学生,具备一定的计算机基础,对微机技术有一定了解,具备独立思考和解决问题的能力。
教学要求:结合学生特点和课程性质,将课程目标分解为具体的学习成果,注重理论与实践相结合,提高学生的实际操作能力和解决问题的能力。
在教学过程中,注重培养学生的团队协作能力和创新思维。
二、教学内容1. 微机原理与硬件组成- 硬件系统组成:CPU、内存、硬盘、显卡等- 微机工作原理:指令执行、数据存储与传输2. 操作系统基础- 操作系统原理:进程管理、内存管理、文件系统- 常用操作系统:Windows、Linux、Mac OS3. 编程语言及程序设计- 编程基础:数据类型、变量、运算符、控制结构- 程序设计方法:面向过程、面向对象- 实践项目:使用C语言或Python编写简单程序4. 微机应用与维护- 常用软件安装与使用:办公软件、编程工具、杀毒软件- 硬件故障排查与处理:常见故障分析、解决方法- 系统优化与维护:系统清理、驱动更新、病毒防护5. 课程实践与拓展- 实践项目:组装微机、操作系统安装、编程实践- 拓展内容:新兴技术介绍、微机技术发展趋势教学内容安排与进度:1. 微机原理与硬件组成(2课时)2. 操作系统基础(4课时)3. 编程语言及程序设计(6课时)4. 微机应用与维护(4课时)5. 课程实践与拓展(4课时)教材章节关联:1. 微机原理与硬件组成:《微机原理与应用》第1-3章2. 操作系统基础:《操作系统原理》第1-3章3. 编程语言及程序设计:《C语言程序设计》或《Python编程》基础部分4. 微机应用与维护:《计算机维护与维修》第1-2章5. 课程实践与拓展:结合教材内容进行实践与拓展教学。
北邮物理实验报告摘要:实验目的是通过实验得出物体的加速度与重力加速度之比。
采用摆钟法,并通过调节摆的角度和长度,利用摆的周期与物体的运动时间来计算相应的加速度值,取平均值得出最终结果。
实验结果为g/a=9.79±0.04。
实验原理:当物体做直线运动时,在没有空气摩擦、弹性变形和其他阻力的情况下,加速度表示为:a=F/m其中F是物体所受的合力,m是物体的质量,在地球上,物体所受的力主要有重力和摩擦力。
在忽略摩擦力的情况下,物体所受的合力F即为重力G。
因此:a=G/m根据牛顿第二定律,F=ma,可得出:G=mg其中g是地球的重力加速度。
因此,上式可以变为:a=g根据上式,可以知道物体的加速度与重力加速度之比为1,即g/a=1。
然而,在实际实验中,要通过测量物体的运动时间来得出相应的加速度值,因此需要通过简单的数学运算进行换算。
实验器材:摆钟、短绳、双向书、千分尺、手机秒表、支架、约1kg质量的物体。
实验步骤:1. 将物体用细绳系于摆钟的下端,调节摆的角度,使其能够完全在摆钟内摆动,并可靠地调整为在摆钟下端的任何位置开始。
2. 用手机秒表记录摆的周期和物体的运动时间,每个数据点都记录三次。
3. 更改摆钟的长度以减少周期的误差,从而更准确地调整加速度值。
实验结果:1. 第一次重复测量:g/a=10.032. 第二次重复测量:g/a=9.823. 第三次重复测量:g/a=9.789平均值:g/a=9.79±0.04结论:通过本次实验,我们成功地利用摆钟法求出了物体的加速度与重力加速度之比,并在数据处理中得出了相应的加速度值,结果表明g/a=9.79±0.04,与真实值十分接近。
实验结果具有一定的参考价值,同时也为物理实验的实践操作提供了一定的指导。
课程设计实验报告-----物联网实验学院:电子工程学院班级:2011211204指导老师:赵同刚一.物联网概念物联网是新一代信息技术的重要组成部分。
物联网的英文名称叫“The Internet of things”。
顾名思义,物联网就是“物物相连的互联网”。
这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。
因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。
二.物联网作用现有成熟的主要应用包括:—检测、捕捉和识别人脸,感知人的身份;—分析运动目标(人和物)的行为,防范周界入侵;—感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警;—感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等;—感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉;—感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。
三.物联网无线传感(ZigBee)感知系统ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。
ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。
ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。
ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。
这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。
除此之外,它还具有很高的可靠性和安全性。
这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。
课程设计实验报告-----物联网实验学院:电子工程学院班级:2011211204指导老师:赵同刚一.物联网概念物联网是新一代信息技术的重要组成部分。
物联网的英文名称叫“The Internet of things”。
顾名思义,物联网就是“物物相连的互联网”。
这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。
因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。
二.物联网作用现有成熟的主要应用包括:—检测、捕捉和识别人脸,感知人的身份;—分析运动目标(人和物)的行为,防范周界入侵;—感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警;—感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等;—感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉;—感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。
三.物联网无线传感(ZigBee)感知系统ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。
ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。
ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。
ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。
这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。
除此之外,它还具有很高的可靠性和安全性。
这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。
ZigBee的基础是IEEE802.15.4,这是IEEE无线个人区域网工作组的一项标准,被称作IEEE802.15.4(ZigBee)技术标准。
ZigBee不仅只是802.15.4的名字。
IEEE仅处理低级MAC层和物理层协议,因此ZigBee 联盟对其网络层协议和API 进行了标准化。
ZigBee 联盟还开发了安全层。
四.物联网系统组成(一)硬件平台1、硬件组成从硬件角度看,系统由4大部分组成:位于最底层的传感器采集节点、中间的路由节点、将数据传送到PC 机的协调器节点以及PC 机几个平台。
系统框图如图2-1所示: PC协调器(LM3S9B96)采集节点1采集节点5采集节点3采集节点4路由1路由2采集节点6采集节点2路由3ZigBeeUART/USBZigBee ZigBee ZigBee图2-1 系统框图从上图可以看到,除协调器与PC 机的通讯可采用以太网或USB 外,其他各个部分之间都采用ZigBee 网络。
整个系统除了PC 机外的其他部分都采用当前最流行的低功耗、小封装的Cortex-M3芯片做主控芯片。
其中的终端节点和路由节点采用LM3S811,汇聚节点采用内部集成以太网和USB 控制器的LM3S6952或LM3S9B96,终端节点除ZigBee 部分进行数据传输外,还有不同的传感器信号处理部分。
具体见下面介绍。
2、主要器件介绍● LM3S6952:支持最大主频为50MHz 的ARM Cortex-M3内核,256 Kbyte FLASH,64 KByte SRAM ,LQFP-100封装。
集成10/100MHz 以太网、睡眠模块、正交编码器、3路10位ADC 、带死区PWM 、模拟比较器、3路UART 、SSI 、通用定时器,I2C 、CCP 等外设。
● LM3S9B96:支持最大主频为80 MHz 的ARM Cortex-M3内核,256 KByte FLASH,96 KByte SRAM ,LQFP-100封装。
集成10/100MHz 以太网、2路CAN 控制器、USB OTG 、外部总线EPI 、ROM 片上StellarisWare 软件、睡眠模块、正交编码器、16路ADC 、带死区PWM 、模拟比较器、UART 、SSI 、通用定时器、I2S 、I2C 、CCP 、高精度振荡器、DMA 等外设。
● LM3S811:支持最大主频为50 MHz的ARM Cortex-M3内核,64 KByte FLASH,8 KByte SRAM,LQFP-48封装。
集成正交编码器、4路10位ADC、带死区PWM、模拟比较器、2路UART、SSI、3个通用定时器,I2C、CCP等外设。
CC2420:CC2420是Chipcon As公司推出的首款符合2.4GHz IEEE802.15.4标准的射频收发器。
该器件包括众多额外功能,是第一款适用于ZigBee产品的RF器件。
它基于Chipcon公司的SmartRF 03技术,以0.18um CMOS工艺制成只需极少外部元器件,性能稳定且功耗极低。
CC2420的选择性和敏感性指数超过了IEEE802.15.4标准的要求,可确保短距离通信的有效性和可靠性。
利用此芯片开发的无线通信设备支持数据传输率高达250kbps可以实现多点对多点的快速组网。
CC2420的主要性能参数如下:●工作频带范围:2.400~2.4835GHz;●采用IEEE 802.15.4规范要求的直接序列扩频方式;●数据速率达250kbps,码片速率达2MChip/s;●采用O-QPSK调制方式;●超低电流消耗(RX:18.8mA,TX:17.4mA)高接收灵敏度(-95dBm);●抗邻频道干扰能力强(39dB);●内部集成有VCO、LNA、PA以及电源整流器,采用低电压供电(2.1~3.6V);●输出功率编程可控;●IEEE 802.15.4的MAC层硬件可支持自动帧格式生成、同步插入与检测、16bitCRC校验、电源检测、完全自动MAC层安全保护(CTR,CBC-MAC,CCM);●与控制微处理器的接口配置容易(4总线SPI接口);●开发工具齐全,提供有开发套件和演示套件;●采用QLP-48封装,外形尺寸只有7×7mm。
(二)、协调器介绍(一)、协调器主要负责将路由器或采集节点上传的数据发送到上位机,因此采用集成USB控制器的LM3S9B96芯片,LM3S9B96芯片资源如下:支持最大主频为80 MHz的ARM Cortex-M3内核,256 KByte FLASH,96 KByte SRAM,LQFP-100封装。
集成10/100MHz以太网、2路CAN控制器、USB OTG、外部总线EPI、ROM 片上StellarisWare软件、睡眠模块、正交编码器、16路ADC、带死区PWM、模拟比较器、UART、SSI、通用定时器、I2S、I2C、CCP、高精度振荡器、DMA等外设。
(二)、协调器为EL-IOT-II实验箱,结构框图如图2-4所示:采采采采采采采采采采320x240TFT LCD7279 KEY&DISPLAY 采采UART2采采JTAG2采采UART1采采POWERCAN 采采ETHERENT 采采USB 采采JTAG1&REST1AD Vref 采采WIFI 采采采采LM3S9B96ZigBee RF18M SDRAM 采采I2S AUDIO SENSOR1ZigBee RF2ZigBee RF21M Flash& SD CARD LED1& SWITCH1ZigBee RF3ZigBee RF3LED2DS18B20_1LED2DS18B20_27279 KEY&DISPLAY JTAG3采采UART3采采LM3S811_1REST 2SWITCH 2LM3S811_2REST3SWITCH 3采采6M 采采6M 采采JTAG_LOCK 1JTAG_LOCK 2图2-4 EL-IOT-II 实验箱结构框图(三)、EL-IOT-II 实验箱由三部分:左边的为协调器,所采用的CPU 芯片为LM3S9B96,右边的为两个采集节点,所采用的CPU 芯片为LM3S811。
各模块介绍如下所示:1、POWER 单元POWER 主要为整个实验箱提供+5V 和+3.3V 电源,分别由相应的指示灯指示。
实验箱后面的开关可以控制电源通断。
所采用的+3.3V 电源转换芯片为AS2830-3.3,最大可以提供2A 的电流。
电路图如图2-5所示:图2-5 电源部分电路2、UART1单元该部分主要完成LM3S9B96与PC机通信或者控制实验箱上的外扩WIFI 模块,所用芯片为MAX3232。
电路图如图2-6所示:图2-6 232电平转换电路5、USB单元该部分主要实现USB通信实验,包括USB作为从设备与PC机通信和USB作为主设备去控制USB外设(如USB鼠标),由于LM3S9B96芯片集成了USB控制器,因此只需外接电平转换芯片,电路比较简单,电路图如图2-9所示:图2-9 USB部分电路6、JTAG1&REST1单元JTAG1是LM3S9B96的下载口,采用标准20针的JTAG下载口,通过J-LINK或M3-LINK 仿真器对程序下载或仿真,电路图如图2-10所示:REST1是LM3S9B96的复位按键,低电平复位,电路图如图2-11所示:图2-11 复位电路9、8M SDRAM单元该部分通过LM3S9B96的EPI总线外扩了一个8M的SDRAM存储器,主要用于存储图片的二进制文件。
电路如图2-14所示:图2-14 8M SDRAM单元电路10、时钟1单元该部分主要给LM3S9B96提供系统时钟跟网络时钟,系统时钟外接晶振为16MHz可以通过芯片内部的PLL倍频,最大支持80MHz,网络时钟为25MHz。
电路图如图2-15所示:图2-15 时钟电路11、320x240 TFT LCD单元实验箱上包含了一个3.5寸的TFT LCD液晶,320X240像素,26万色,(四线电阻屏)支持触摸功能。
作为LM3S9B96的显示设备,实时得显示信息。
电路如图2-16所示:图2-16 液晶接口电路12、ZigBee RF1接口单元该部分主要是外扩ZigBee模块,通过LM3S9B96控制,从而实现协调器数据的接收与ZigBee的组网,通信方式为SPI,接口电路如图2-17所示:图2-17 协调器的ZigBee接口电路(三)EL-IOTM板卡资源总览☆ CPU单元: Cortex-M3内核, LM3S811芯片,工作频率最高50MHz;☆时钟:6MHz,通过芯片内部的锁相环可以达到50MHz;☆ SENSOR INTERFACE:该部分主要支持的传感器包括:温湿度、光照度、加速度、湿度、可燃气体、红外温度、二氧化碳、氧气等;☆ ZIGBEE INTERFACE:该部分主要是安装CC2420模块,然后通过ZIGBEE进行无线传输;☆ 按键:一个JTAG_LOCK键防止芯片锁死和两个用户按键;☆ LED灯:3个LED灯供用户使用;☆ 复位键:芯片复位使用,低电平复位;☆ 蜂鸣器:可以完成蜂鸣器实验,也可以作为电池电量低报警使用。