推荐-基于多功能数字钟的课程设计报告 精品
- 格式:doc
- 大小:355.00 KB
- 文档页数:12
多功能数字钟课程设计
1 多功能数字钟课程设计
多功能数字钟课程设计是一个实验探索类的课程,它在激发学生
学习热情和强化学生的发明能力的同时,兼顾他们在电子信息技术领
域的技能训练。
2 课程背景
该课程的背景主要来自近几年电子信息技术的飞快发展和日新月
异的变化,在21世纪,科技的发展发展不断推动着社会的发展。
因此,人们对信息技术人才的要求越来越多,技术和工程人才岗位也在变得
越来越多,而这背后便是科技发展需要更多深入的研究和精深的工程
技术。
3 课程介绍
本课程的主要内容包括:数字信号与系统、单片机编程、数字电
路设计、数字技术应用研究等。
主要让学生掌握多功能数字钟的基本
原理,能够设计制作出各种功能现代化的多功能数字钟。
学生可以在
课堂上讨论各种电子信息工程技术,并根据自己的喜好来实现功能,
比如加入计算温度、日期显示、天气消息显示等功能,同时还可以学
习一些单片机编程语言,拿实际的案例来研究各种电子元器件的组装
和工程设计的流程,从而加深自身的科技能力和创新思维。
4 课程目标
该课程目标旨在培养学生具有独立创新思维、独立完成科技领域及其他领域复杂问题研究解决过程的能力,培养跨学科合作精神,在学习电子信息工程技术的基础上,增强学生在团队合作和沟通能力上的综合素质,提高学生的科技竞技能力,增强学生的社会责任感。
多功能数字时钟的设计引言现代社会科技飞速发展,人们生活节奏加快,时间就是金钱,时间就是生命。
时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。
忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。
但是,一旦重要事情,一时的耽误可能酿成大祸。
20世纪末,电子技术得到飞速发展,各类电子产品相继出现在市场,电子产品主要朝着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。
数字化电子产品已渗入到人类生活的方方面面。
单片计算机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多输入输出口于一体的微控制器。
它体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。
而52 单片机是51单片机的升级版,功能更强大。
单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。
从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。
这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。
基于以上发展与现实需要本次设计课题就是基于单片机的设计-----基于单片机的多功能数字钟。
数字钟是采用数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
一、设计目的:1.了解数字时钟的组成及工作原理2.熟悉数字钟的设计与制作:1).由晶振电路产生1HZ标准秒信号。
多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
多功能数字时钟课程设计多功能数字时钟是一种十分常见且使用广泛的计时工具,随着数字化的推进,数字时钟在人们的日常生活中扮演着越来越重要的角色。
针对数字时钟的课程设计就显得尤为重要。
在下面的文章中,我们将针对多功能数字时钟的课程设计分步骤地进行阐述。
第一步:课程目标的制定在开展数字时钟课程的设计之前,需要明确该课程的教学目标。
数字时钟涉及到的知识点比较多,目标制定的准确性和明确性可以使得教学更加高效。
该课程目标的一些重要的方面包括:1. 了解数字时钟的组成和工作原理。
2. 掌握数字时钟的常用功能和操作方式。
3. 能够利用数字时钟进行实际生活中的计时操作。
4. 学会数字时钟的基本维护和保养。
第二步:课程内容的选择数字时钟涉及到的内容很多,但是每个人的知识背景在一个不同的水平,一些学生可能只知道数字时钟的基本概念。
在选择课程内容时,要根据学生的背景进行选择,使得课程设计具有一定的针对性。
一些重要的课程内容有:1. 数字时钟的结构。
2. 常见数字时钟的类型。
3. 如何使用数字时钟进行计时操作。
4. 数字时钟的维护和保养。
第三步:课程教学方法的选择在数字时钟的课程教学中,教学方法是非常重要的。
如果教学方法不当,即使内容选择恰当,也可能使得学生无法真正理解和掌握数字时钟的重要性和使用方法。
一些教学方法有:1. 讲解式教学方法:教师直接讲解数字时钟的相关知识和技能。
2. 实验式教学方法:由学生亲自操作数字时钟,从而掌握使用方法。
3. 讨论式教学方法:教师引导学生进行交流和探讨。
第四步:课程评价方法的选择在数字时钟课程结束后,教学评价是必不可少的一件事。
这对于学生学习的效果检验和课程的改进都具有重要意义。
一些评价方法有:1. 笔试方式:通过让学生考试,检查学生对数字时钟的理解程度。
2. 实际操作方式:让学生在数字时钟的操作过程中检查他们是否真正理解。
3. 交流方式:从学生的角度出发,从而更好地了解数字时钟课程的情况。
多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。
(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。
多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。
另外采用了总线的电路连线方式使电路图简洁美观。
1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。
课题名称:多功能数字钟所在院系:机械电子工程学院班级:*自动化*班学号:2011******* 姓名:*宜杰指导老师:***时间:2013.12.20瓷学院数字电子技术课程设计任务书目录引言 (4)第一章方案设计 (5)第二章单元电路设计 (7)第三章总体电路图 (13)第四章课程设计总结 (15)附表元件清单 (16)附录参考文献 (17)引言随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。
我们做为21世纪的一名学电子的大学生,不仅要将理论知识学会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。
电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。
数字电子时钟是一个对标准频率(1HZ)进行计数的计数电路。
通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。
以10 进制计数器74HC390 来实现时间计数单元的计数功能。
用4518作为计数电路,采用CD4511 作为显示译码电路。
选择LED数码管作为显示电路。
由CD4511 把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。
用COMS 与或非门实现的时或分校时电路。
该电路还有在整点前10 秒钟开始整点报时的功能。
报时电路可选74HC30 来构成。
时间以24 为一个周期。
数字钟采用数字电路实现对“时”“分”“秒”数字显示的计时装置。
具有时间显示、闹钟设置、报时功能、校时的功能。
走时准确、显示直观、精度高、稳定等优点。
第一章方案设计设计制作一多功能数字钟一、设计任务:设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计和器件选择,最后将各部分连接在一起,设计出一个符合设计要求的完整系统电路设计要求:(1) 时钟显示功能,能够十进制显示“时”、“分”、“秒”;(2) 小时高位具有零熄灭功能;(3) 具有整点报时功能;(4) 具有快速校准时间的功能。
一、概述现代社会,时间被视为人们生活的重要组成部分。
而数字钟作为时间的一种展现形式,已经成为人们生活中不可或缺的一部分。
基于单片机的多功能数字钟设计,将为人们提供更加准确、便捷、多功能的时间展现方式,满足人们对时间的精准要求,同时也为人们的生活带来更多便利。
二、设计目标1. 实现精准的时间显示功能,包括时、分、秒的显示;2. 实现对日期的显示,包括年、月、日的显示;3. 实现多种报时功能,如定时报时、闹钟报时等;4. 实现多种显示效果,如渐变显示、闪烁显示等;5. 实现对时间的调整功能,包括校时、调整日期等;6. 实现对亮度的调节功能,适应不同环境下的使用需求。
三、设计思路1. 硬件设计1.1 硬件采用单片机作为核心控制器,通过外部晶振提供时钟信号;1.2 采用数码管作为显示设备,通过单片机控制数码管进行时间、日期的显示;1.3 通过按钮、旋钮等输入设备,实现时间调节、报时设置等操作;1.4 通过EEPROM等存储设备,实现时间、设置的存储和读取功能;1.5 通过光敏电阻等光敏传感器,实现对环境光强的检测,调节数码管显示亮度。
2. 软件设计2.1 设计合理的时钟系统,确保时间的准确显示;2.2 设计报时功能模块,实现定时报时、闹钟报时等功能;2.3 设计显示控制模块,实现数字、日期的显示效果控制;2.4 设计操作响应模块,实现对按钮、旋钮等输入设备的操作响应;2.5 设计存储管理模块,实现时间、设置数据的存储和读取功能;2.6 设计光敏控制模块,实现对数码管显示亮度的实时调节。
四、实现方法1. 硬件设计1.1 选择合适的单片机作为核心控制器,根据需要进行外围电路的设计;1.2 选择合适的数码管作为显示设备,设计驱动电路以及显示控制电路;1.3 选择合适的按钮、旋钮等输入设备,设计输入电路以及操作响应电路;1.4 选择合适的EEPROM芯片,设计存储管理电路实现数据的存储和读取;1.5 选择合适的光敏电阻或光敏二极管,设计光敏控制电路实现显示亮度的调节。
电子综合课程设计多功能数字电子时钟一、简介本项目为电子综合课程设计,设计一款多功能数字电子时钟。
该时钟具有显示时间、日期、温度、闹钟等多种功能,配备有定时开关机、夜光和音量调节等功能。
此外,该时钟外观简约且美观,设计师充分考虑到用户的使用需求,实现了人性化设计,易于操作,成为一款功能强大、实用性高、适用范围广的电子产品。
二、设计思路1.硬件设计时钟采用STM32F0308T6单片机作为主控芯片,用DS1302实时时钟芯片作为时钟源。
为了实现多种功能,我们在主控出增加了一个9612音频电路芯片,用作时钟的音量控制,以及闹钟的提示铃声。
此外,为了使时钟更好地适应使用场景,设计师还添加了光敏电阻实现夜光功能,铺上黄色的背光板后又实现了多种颜色的配合,能够适应不同场合的需求。
2.软件设计在软件方面,使用了C语言编程。
主要功能包括时间、日期、温度显示、闹钟、夜光和音量控制等。
其中,时间及日期的显示为主界面,在时钟界面下按下“menu”可以进入温度、夜光、音量调节、闹钟设置等模式,按下确定键可进入对设置的编辑,方便用户按照自己需求进行调整。
三、功能介绍1.时间、日期显示时钟在主界面下,可显示当前时间和日期,使用户随时了解到时间和日期的变化。
2.温度显示在“menu”界面下,可显示当前的温度,方便用户了解室内温度的变化。
3.闹钟设置在“menu”模式下,用户可通过设置闹钟时间来实现定时提醒的功能。
4.夜光当环境光线较暗时,时钟的背光板将亮起,以便用户观看时间。
5.音量调整可通过音量调节的按键对音量进行调整,以方便用户按照自己的需要进行调节。
6.定时开关机在控制位置,实现了产品的定时开关机功能,可自动开机,实现了对环境的节约。
四、总结该多功能数字电子时钟的设计工艺精良,功能强大、易于操作、实用性高、适用性广泛。
整个设计过程、选材及工艺都体现了电子产品的优越性。
在真正的市场环境下,这款多功能电子时钟具备广泛的市场适应性,在现代家居、办公室等各个场合都具有很好的应用前景。
多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。
2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。
3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。
技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。
2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。
3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。
情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。
2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。
3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。
课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。
学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。
教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。
二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。
教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。
教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。
教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。
教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。
教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。
数字钟课程设计报告前言:随着科技的不断进步,数字化已经成为了各个领域的主流趋势。
数字技术也在教育领域得到广泛应用。
数字化教育为学生提供了更好的学习方式和体验,同时也给教育工作者带来了更多的创新空间。
本文将围绕数字化教育,探讨数字钟课程设计报告。
数字钟的设计:数字钟是一个数字化的学习工具,在各学科的教学中都得到了广泛应用。
数字钟的设计可以遵循以下步骤:1.确定教学目标:数字钟的设计必须遵循教学目标,以便为教师和学生提供最佳的学习体验,使教学更加生动有趣。
2.选择数字钟的类型:根据教学目标和特点,可以选择不同类型的数字钟,例如计时器、倒计时器、时间轴等。
3.选择数字钟的功能:数字钟的功能会影响到教学效果,因此需要根据教学目标和教学特性选择数字钟的功能。
4.美化数字钟的界面:美化数字钟的界面能够增加学生的学习兴趣,提高教学效果,从而实现教学目标。
数字钟的应用:数字钟是一种数字化教学工具,可以在各个学科的教学中得到广泛应用。
下面以数学为例,详细说明数字钟在数学教学中的应用。
数字钟可以用于教学观念的讲解。
在数学教学中,学习时间的观念非常重要。
使用数字钟可以帮助学生了解时间的本质,为学生认识到时间的重要性打下基础。
数字钟也可以用于学习数学运算。
例如,教师可以设置数字钟来进行加减乘除的计算,帮助学生提高计算速度和精确度。
数字钟还可以用于检查作业。
教师可以在数字钟上设置一个时间限制,让学生在规定时间内完成作业。
如果学生没有完成作业,数字钟将会提醒他们完成。
数字钟的优势:数字化教育工具的吸引力取决于它们的功能和灵活性。
数字钟虽然看起来简单,但它的实际用途非常重要。
它能够帮助教师更好地了解学生的学习情况,同时也能够更好地帮助学生提升学习效果。
数字钟优势如下:1、灵活性:数字钟可以根据教学需要进行设计和选择,可以在不同的学科中得到广泛应用。
2、互动性:数字钟可以与学生互动式地使用。
通过使用数字钟可以促进学生互动,提高学生的学习效果,帮助学生主动掌握学习内容。
目录1..............设计整体思路2.............基本原理3.............单元电路设计及单元电路4..............安装调试步骤5..............故障分析与电路改进6..............总结与体会7..............参考文献8..............附录(元器件清单及总电路图)一.设计的整体思路:1.课程设计要求:要用时序逻辑电路设计出一个多功能可调的数字钟,这个数字钟要可调,能显示时分秒,并且要能准确的显示。
2.设计的目的:1 掌握集成电路的引脚安排2 掌握各芯片的逻辑功能及使用方法3 理解数字钟的组成和工作原理4 熟悉数字钟的设计与制作要求:时间以24小时为一个计时周期显示时分秒有校时功能,可以分别对时分进行校时计数器有整点报时功能须有晶体振荡器提供表针时间基准信号画出电路原理图元器件及参数选择电路仿真及调试自行装配和调试,并能发现问题和解决问题编写设计报告二.基本原理及其框图1.主电路是由一个4060芯片,六个74161四位同步二进制计数器和六个CD4511七段显示译码器构成。
其中4060是用来产生始终脉冲信号,74161是用来计数的工作时,每秒一次的方波作为“秒”脉冲信号,因每分钟有60秒,所以“秒”计数器为六十进制计数器,“分”的计数器亦同,而“时”采用二十四进制计数器。
当“秒”计数器满60时,输出秒进位脉冲,送“分”计数器;当“分”计数器满60时,输出“分”进位脉冲,送“时”计数器计数;当“时”计数器满24小时候,“时”“分”“秒”计数器同时自动复零。
每个计数器输出均要经过译码器,显示器显示时钟的“时”“分”“秒”。
三.单元电路设计及单元电路1.如图所示:多谐振荡器该电路由一个4060,一个晶振和一个10M电阻两个22pf电容组成.如图所示2.译码显示电路如图所示:该电路由一个4511BD芯片与共阴极数码管构成图3——1该电路时有两个74LS161和一个74LS04与门,两个数码管和两个的CD4511译码器构成,他们构成一个六十进制计数器,是用来显示秒。
多功能数据钟课程设计一、课程目标知识目标:1. 学生能理解并掌握多功能数据钟的基本组成部分及其工作原理;2. 学生能够运用所学知识,设计并制作一个简单的多功能数据钟;3. 学生能够理解并运用时间单位、时间计算等基本概念。
技能目标:1. 学生能够运用编程软件和电子元件,实现数据钟的基本功能;2. 学生通过实际操作,提高动手能力和问题解决能力;3. 学生能够进行团队协作,提高沟通和协作能力。
情感态度价值观目标:1. 学生培养对电子制作和编程的兴趣,激发创新意识;2. 学生在实践过程中,体会团队合作的重要性,培养团队精神;3. 学生通过学习,认识到科技与生活的紧密联系,增强学以致用的意识。
分析课程性质、学生特点和教学要求,本课程将目标分解为以下具体学习成果:1. 学生能够独立完成多功能数据钟的设计和制作;2. 学生能够通过编程实现数据钟的基本功能,如显示时间、闹钟提醒等;3. 学生能够对作品进行测试、优化,提高其稳定性和实用性;4. 学生在课程结束后,能够对所学知识进行总结,并进行展示和分享。
二、教学内容本章节依据课程目标,选择以下教学内容:1. 多功能数据钟的基本原理:- 认识数据钟的硬件组成,如时钟芯片、显示屏幕等;- 了解数据钟的工作原理,包括时间同步、闹钟设置等。
2. 电子元件的使用和编程:- 学习并掌握常用电子元件的连接和使用方法;- 学习编程软件的使用,如Arduino或Micro:bit,编写控制程序。
3. 时间单位与时间计算:- 掌握时间单位的概念,如时、分、秒;- 学习时间计算的基本方法,应用于数据钟的功能实现。
4. 实践操作:- 设计并制作多功能数据钟,包括硬件连接和程序编写;- 测试并优化作品,确保其稳定性和实用性。
教学大纲安排如下:第一课时:介绍多功能数据钟的基本原理和硬件组成;第二课时:学习电子元件的连接和使用方法;第三课时:编程软件的使用,编写数据钟基础程序;第四课时:实现数据钟的基本功能,如显示时间;第五课时:时间计算方法的学习,实现闹钟功能;第六课时:测试、优化作品,进行展示和分享。
电子信息学院课程设计报告课程名称: 《数字系统设计课程设计》题目: 多功能数字钟设计年级/专业/班: 2011级电科X班学生姓名1: xxx 学号:学生姓名2: xxx 学号:2014 年 7 月 1 日目录1课程设计目标和流程分析 (3)1.1课程设计目标 (3)1.2开发环境说明 (3)1.3设计流程说明 (4)2系统设计 (5)2.1系统架构设计 (5)2.2子模块分析 (5)2.3调试结果 (7)3小结 (8)附录:主要代码 (9)课程设计目标和流程分析1.1课程设计目标基于Verilog语言描述系统的功能;在quartusII环境中编译通过;仿真通过并得到正确的波形;掌握数字系统的分析和设计方法。
能够熟练的、合理的选用集成电路器件。
掌握数字钟得设计制作方法。
用Verilog硬件描述语言设计数字钟,实现的目标如下:1)具有时、分、秒计数显示功能,以二十四小时循环计时。
2)具有调节小时,分钟的功能,可以通过按键选择时和分。
3)具有整点报时及闹铃时间可调的功能。
4)数字钟具有四种模式:正常显示、时间调整、闹铃时间调整、秒表。
1.2开发环境说明硬件:采用基于EP1C12Q240C8芯片的FPGA开发平台FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
FPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。
现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。
《多功能数字钟电路设计与制作》课程设计报告班级:建筑设施智能技术二班姓名:*****学号:********指导教师:*****2010年11月19日目录一、内容摘要 (3)二、设计内容及要求 (3)三、总设计原理 (3)四、单元电路的设计 (6)1、基于NE555的秒方波发生器的设计 (5)2、基于74LS160的12\60进制计数器的设计 (7)3、校时电路的设计 (9)五、设计总电路图 (10)六、主要仪器及其使用方法 (10)七、设计过程中的问题及解决方案 (10)八、心得体会 (12)九、附录 (13)多功能数字钟的电路设计与制作一、内容摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
它可以实现数字电子时钟功能、仿电台整点报时功能、定时功能这三项基本功能。
二、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。
②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。
三、总设计原理:(1)数字电子计时器组成原理图1数字电子计时器的结构框图(2)用74LS160实现12进制计数器(3)校时电路当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。
校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。
为使电路简单,这里只进行分和小时的校准。
校时可采用快校时和慢校时两种方式。
校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。
图3中C 1、 C 2用于消除抖动。
图3 校时电路CLK图2 用整体置零法构成的12进制计数器进位1校4、时基电路图4 由555定时器构成的多谐振荡器5、定时控制电路数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。
多功能数字钟设计多功能数字钟设计数字钟是一种能够显示时间的钟表,可以帮助人们准确地掌握时间并管理自己的日程。
为了满足人们对数字钟的多种需求,我设计了一款多功能数字钟。
该数字钟具有以下功能:1. 时间显示:数字钟具有清晰的LED数字显示,可以显示小时和分钟。
时间显示具有灵活性,可以选择12小时制或24小时制。
2. 闹钟功能:数字钟具有闹钟功能,用户可以根据自己的需求,设定闹钟时间。
当闹钟时间到达时,数字钟会发出响亮的闹铃声,提醒用户。
3. 温度显示:数字钟具有温度传感器,可以显示当前室内温度。
用户可以随时掌握室内温度,从而调整合适的温度。
4. 日历功能:数字钟具有日历功能,可以显示当前日期和星期几。
用户可以通过数字钟了解日期,并根据需要安排自己的活动。
5. 天气预报:数字钟具有连接互联网的功能,可以通过天气预报网站获取实时的天气信息。
用户可以通过数字钟了解当前天气情况,从而合理安排出行和室内外活动。
6. 语音控制:数字钟具有语音识别功能,用户可以通过语音命令控制数字钟的各项功能。
比如,用户可以通过语音命令设置闹钟时间或调整温度。
7. USB充电口:数字钟具有USB充电口,用户可以通过数字钟为手机和其他电子设备充电。
这样,用户不仅能够准确掌握时间,还能方便地为其他设备充电。
8. 亮度调节:数字钟具有亮度可调节功能,用户可以根据自己的需求,调整数字钟的亮度。
在夜间,用户可以减小亮度,避免打扰睡眠。
9. 电池备份:数字钟具有电池备份功能,当电源中断时,数字钟可以使用备用电池继续工作,避免时间的丢失。
10. 远程控制:数字钟可以通过手机或其他远程设备进行控制,用户可以通过手机轻松设置闹钟、调整时间等功能,提高便利性。
总之,该多功能数字钟集合了时间显示、闹钟、温度显示、日历、天气预报、语音控制、USB充电口、亮度调节、电池备份和远程控制等多种功能于一身,既可以帮助人们准确掌握时间,又能方便管理自己的日程。
它的设计旨在提高人们的生活质量和便利性。
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
多功能电子数字钟设计数字钟在日常生活中最常见,应用也最广泛。
本文主要就是设计一款数字钟,以89C52单片机为核心,配备液晶显示模块、时钟芯片、等功能模块。
数字钟采用24小时制方式显示时间,定时信息以及年月日显示等功能。
文章的核心主要从硬件设计和软件编程两个大的方面。
硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。
软件用C语言来实现,主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。
关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1、MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。
这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。
8051单片机的结构特点有以下几点:8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源,2个中断优先级;全双工串行口。
定时器/计数器8051内部有两个16位可编程定时器/计数器,记为T0和T1。
16位是指他们都是由16个触发器构成,故最大计数模值为2-1。
可编程是指他们的工作方式由指令来设定,或者当计数器来用,或者当定时起来用,并且计数(定时)的范围也可以由指令来设置。
这种控制功能是通过定时器方式控制寄存器TMOD来完成的。
在定时工作时,时钟由单片机内部提供,即系统时钟经过12分频后作为定时器的时钟。
技术工作时,时钟脉冲由TO和T1输入。
中断系统8051的中断系统允许接受五个独立的中断源,即两个外部中断申请,两个定时器/计数器中断以及一个串行口中断。
外部中断申请通过INTO和INT1(即P3.2和P3.3)输入,输入方式可以使电平触发(低电平有效),也可以使边沿触发(下降沿有效)。
2、8051的芯片引脚如图1-2所示VCC:供电电压。
GND:接地。
P0口:P0口为一个8位漏极开路双向I/O口,每脚可吸收8TTL 门电流。
数字电子课程设计报告——多功能电子钟一、设计题目多功能数字电子钟的设计二、设计要求1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。
(设计秒脉冲发生器);2、有整点报时功能。
(选: 上下午、日期、闹钟等)3.用中规模、小规模集成电路及模拟器件实现。
4.供电方式: 5V直流电源三、设计任务1.画出数字电子钟的电路图。
2.用EWB进行功能仿真。
3.撰写课程设计说明书四、设计总体框图和总电路图图1 多功能数字电子钟系统框图图2 总电路图五、设计方案及论证主电路是由TTL集成电路功能部件和单元电路构成。
本方案主要功能特点:1.实现“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能;2.自行设计的用555定时器组成的多谐振荡器和分频器组合的秒脉冲发生器, 可以代替1Hz方波信号源是电路正常运作;3、有星期的显示功能, 以及时钟12/24进制的转换, 并能同步正常进位;4.实现整点报时功能。
1.振荡器的设计振荡器是数字电子钟的核心部件。
有以下两种方案:方案一: 选用石英晶体构成的振荡器电路石英晶体振荡器的精度较高, 由于振荡器的稳定度及频率的精确度决定了数字钟的及时的准确程度, 而且, 通常情况下, 震荡器的频率越高, 计数精度越高, 所以多数都采用石英晶体振荡器, 如:电子手表中的晶体振荡器电路。
方案二: 555定时器构成的振荡器555定时器构成的晶体振荡器的精度不比石英晶体振荡器, 不过考虑到555定时器在数字电子中有广泛的应用, 而且本设计中不要求很高的精度, 所以这里采用555定时器构成多谐振荡器, 设置振荡频率为1kHz 。
电路图如下:图3 555定时器接成的秒脉冲发生器555定时器频率计算公式:添加公式2ln )2(121C R R f += 周期 T=1/f 根据公式, 设置R1=R2=4.77M Ohm , C=0.1uf 则f 1Hz,T 1s2.分频器设计分频器的设计目的: 产生等间距的频率稳定的标准秒脉冲信号。
EDA技术课程设计多功能数字钟学院:城市学院专业、班级:姓名:指导老师:20XX年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (8)(5)高3位数和低4位数并置输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (10)6、设计心得体会 (11)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时输出喇叭有音乐响起。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动4位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour24 isport( clk: in std_logic;reset:instd_logic;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));end hour24;architecture behav of hour24 isbeginprocess(reset,clk)beginif reset='1' then qh<="000"; ql<="0000";elsif(clk'event and clk='1') thenif (qh<2) thenif (ql=9) thenql<="0000"; qh<=qh + 1;else ql<=ql+1;end if;elseif (ql=3) then ql<="0000"; qh<="000";else ql<=ql+1;end if;end if;end if;end process;end behav;仿真波形如下:VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY min60 ISPORT(reset:IN STD_LOGIC;load:IN STD_LOGIC;clk1:IN STD_logic;clk:IN STD_LOGIC;co:OUT STD_LOGIC;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end min60;ARCHITECTURE behave OF min60 ISBEGINPROCESS(clk,reset,load)BEGINif(reset='1') thenqh<="000"; ql<="0000"; elsif(load='1') then co<=clk1;elsif(clk'EVENT and clk='1') thenif(ql=9) thenql<="0000";--低4位清零if(qh=5) then qh<="000";--高4位清零else qh<=qh+1 ;--计数功能的实现;end if;else ql<=ql+1; --低4位加1end if;if(qh=5 and ql=9) then co<='1';else co<='0';end if;end if;end process;end behave;仿真波形如下:VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sec60 ISPORT(reset:IN STD_LOGIC;load:IN STD_LOGIC;clk1:IN STD_LOGIC;co:OUT STD_LOGIC;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END sec60;ARCHITECTURE behave OF sec60 ISBEGINPROCESS(clk,reset,load)BEGINif(reset='1')thenqh<="000"; ql<="0000"; elsif(load='1')then co<=clk1;elsif(clk'EVENT and clk='1') THENif(ql=9)thenql<="0000";--低4位清零if(qh=5) then qh<="000";--高4位清零 else qh<=qh+1 ;--计数功能的实现; end if;else ql<=ql+1; --低4位加1end if;if(qh=5 and ql=9) then co<='1';else co<='0';end if;end if ;end process;end behave;波形仿真如下:(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity alert isport(clk:in std_logic;dain:in std_logic_vector(6 downto 0);speak:out std_logic;lamp:out std_logic_vector(2 downto 0));end alert;architecture fun of alert issignal count:std_logic_vector(1 downto 0);signal count1:std_logic_vector(1 downto 0);beginspeaker:process(clk)beginspeak<=count1(1);if(clk 'event and clk='1') thenif(dain="1011001") thenif(count1>="10")thencount1<="00";else count1<=count1+1;end if;else count1<="00";end if;end if;end process speaker;lamper:process(clk)beginif(clk 'event and clk='1')thenif(dain="1011001") thenif(count<="10")thenif(count="00")thenlamp<="001";elsif(count="01")thenlamp<="010";elsif(count="10")thenlamp<="100";end if;count<=count+1;else count<="00";end if;end if;end if;end process lamper;end fun;波形仿真如下:(3)驱动8位八段共阴扫描数码管的片选驱动信号输出模块VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity seltime isport(clk2,reset:in std_logic;hourqh,secqh,minqh:in std_logic_vector(2 downto 0);hourql,minql,secql:in std_logic_vector(3 downto 0);daout:out std_logic_vector(3 downto 0);sel:out std_logic_vector(2 downto 0));end seltime;architecture fun of seltime issignal count:std_logic_vector(2 downto 0);beginsel<=count;--选择数码管的那一块process(clk2,reset)beginif(reset='1') then count<="000";elsif(clk2' event and clk2='1') thenif(count>="101") then count<="000";else count<=count+1;end if;end if;case count iswhen"000"=>daout<=secql(3 downto 0); --对应的数码管显示的数据when"001"=>daout(3)<='0';daout(2 downto 0)<=secqh(2 downto 0); when"010"=>daout<=minql(3 downto 0);when"011"=>daout(3)<='0';daout(2 downto 0)<=minqh(2 downto 0); when"100"=>daout<=hourql(3 downto 0);when others=>daout(3)<='0';daout(2 downto 0)<=hourqh(2 downto 0);end case;end process;end fun;波形仿真如下:(4)驱动八段字形译码输出模块该模块功能:信号输入后,模块驱动八段字形译码输出,A,B,C,D,E,F,G 分别接八段共阴级数码管7个接口,即有字形输出。