交通灯控制电路设计与仿真
- 格式:doc
- 大小:203.00 KB
- 文档页数:14
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
交通信号灯控制电路的设计与仿真交通信号灯是城市道路上的重要交通设施。
它不仅能够引导车辆行驶方向、保障行人安全出行,还能有效地控制交通流量,缓解车辆拥堵问题。
然而,要使交通信号灯发挥作用,就需要一个可靠的信号控制电路。
本文将介绍交通信号灯控制电路的设计与仿真。
1. 控制电路设计交通信号灯控制电路是一种可编程逻辑电路(FPGA)。
它可以根据不同的交通需要配置不同的控制方案。
基本的控制方案有三种:顺序控制、时间计划控制和循环控制。
1.1 顺序控制顺序控制是最简单的交通信号灯控制方案,它依次控制交通灯的颜色。
设计电路需要先设置一个时钟,并定义各信号灯的状态,例如,当橙色灯亮的时候,等待5秒钟后,绿色灯亮;当绿色灯亮时,等待10秒钟后,红色灯亮。
这样的交通信号灯控制方案简单、稳定,但是不适用于复杂的交通环境。
1.2 时间计划控制时间计划控制是根据交通流量和道路容量的不同,对交通信号灯的时间进行调整的控制方案。
具体做法是,通过交通流量传感器测量每个方向的车辆流量并累积,运用时序控制器进行计算,并对红绿灯时间进行动态调整。
这样可以保证交通信号灯实时地适应不同的流量情况,但是需要大量的传感器和计算器。
1.3 循环控制循环控制是一种随机的交通信号灯控制方案,通过交通数据和计算机模型确定路口交通灯每轮的时间长度,并以不同的顺序轮换信号灯,这样按照循环周期可能使交通流量更加均衡,并且可以排除一些失误。
但是需要进行大量的计算,并且不适用于复杂的交通环境。
2. 仿真设计完成后,需要对交通信号灯控制电路进行仿真,以检验控制电路的稳定性和有效性。
仿真软件通常有多种,本文介绍两种常用的仿真软件。
2.1 QucsQucs是一个免费的仿真软件,具有模拟、线性和非线性仿真电路的能力,可以模拟电路和系统的频段、噪声和传输等特性。
在Qucs中,可以很容易地设计复杂的控制电路,通过仿真分析不同方案的控制效果。
2.2 SPICESPICE是一种常用的模拟软件,主要用于电路和系统仿真。
0.引言Multisim 具有丰富的仿真分析能力并且以Windows 为基础的EDA 仿真工具,适用于板级的模拟/数字电路板的设计工作。
通过Multisim 可以交互式地搭建电路原理图,并对电路行为进行仿真。
它是EDA 仿真设计系统的一个重要组成部分。
EDA 代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法。
随着EDA 技术的发展,可以利用“虚拟仪器”、“虚拟器件”在计算机上进行电子电路设计和实验。
目前,在这类仿真软件中,“虚拟电子实验台”—————Multisim 较为优秀,其应用逐步得到推广。
这种新型的虚拟电子实验技术软件,在创建实验电路时,元器件、测试仪器均可直接从屏幕图形中选取,且仪器的设置、使用和数据读取方法以及外观都与现实中的仪表非常相似。
实际工作中可以利用此软件实现计算机仿真设计与虚拟实验,并且设计与实验可以同步进行,可以边设计边实验,修改调试方便;设计和实验用的元器件及测试仪表齐全,可以完成各种类型的电路设计与实验;仿真时可方便地对电路参数进行测试和分析,可直接打印输出实验数据、测试参数、曲线和电路原理图,并且在实验中不消耗实际上的元器件,实验所需元器件的种类和数量不受限制,从而降低了实验成本低,加快了实验速度,提高了实验效率高。
基于上述优点,我们利用虚拟实验室中的虚拟仪器来组织完成交通灯控制电路的仿真设计。
1.交通灯控制器原理假设有个十字路口,分别有A 、B 两条交叉的道路,交通灯的控制方式为:A 街道先出现在绿灯(3S )、黄灯(1S )时,B 街道为红灯(4S );而A 街道为红灯(4S )时,B 街道出现绿灯(3S )、黄灯(1S );如此循环。
交通灯控制的一个循环为8S ,而采用一片同步十进制计数74LS160来完成时间控制,相当于模8的计数器。
2.电路设计2.1真值表假设A 、B 街道的绿、黄、红灯分别用GA 、YA 、RA 和GB 、YB 、RB 表示,交通灯控制电路的真值表如表1所示:表1交通灯控制电路逻辑真值表2.2设计模8计数器2.2.174LS160简介74LS160是同步10进制计数器,其管脚排列如图1所示:其中A 、B 、C 、D 为预置数输入端,LOAD 为预置数控制端,CLR 为异步清零端,ENP 和ENT 为计数器允许端,CLK 为上长沿触发时钟端,RCO 为输出的进位信号,QA 、QB 、QC 、QD 为十进制输出端。
51单片机交通灯仿真原理引言:交通灯作为城市交通管理的重要组成部分,起到了引导车辆和行人通行的作用。
在现代社会中,交通灯的灯光变化是由电路控制实现的。
本文将以51单片机为基础,介绍交通灯仿真的原理和实现过程。
一、51单片机简介51单片机是一种常见的微控制器,具有高性能、低功耗、易编程等特点。
它广泛应用于各种电子设备中,包括交通灯控制。
二、交通灯的基本原理交通灯一般由红、黄、绿三个灯组成。
红灯表示停车,黄灯表示准备行驶,绿灯表示可以通行。
交通灯的变化是按照一定的时间间隔来进行的,通常为红灯亮一段时间,然后黄灯亮一段时间,最后绿灯亮一段时间。
这种变化方式可以通过51单片机的定时器和IO口控制来实现。
三、交通灯仿真的实现步骤1. 硬件连接需要准备一块51单片机开发板,以及红、黄、绿三个LED灯。
将LED灯连接到51单片机的IO口上,通过电阻限流,确保电流合适。
2. 程序编写使用C语言编写程序,实现交通灯的仿真。
首先,需要定义红、黄、绿三个灯对应的IO口。
然后,设置定时器,按照一定的时间间隔来改变灯的状态。
例如,红灯亮5秒,黄灯亮2秒,绿灯亮8秒。
通过循环控制,可以实现交通灯的循环变化。
3. 烧录程序将编写好的程序通过烧录器下载到51单片机中。
确保烧录成功后,即可进行交通灯仿真。
4. 仿真测试将51单片机开发板连接到电源,打开电源开关。
此时,红灯应亮起,表示停车;随后黄灯亮起,表示准备行驶;最后绿灯亮起,表示可以通行。
通过不断循环,交通灯的状态会一直变化,实现仿真效果。
四、交通灯仿真的应用价值交通灯仿真是对交通灯控制的一种模拟,可以用于交通管理系统的设计和优化。
通过仿真实验,可以模拟不同情况下交通灯的变化,优化交通流量,提高交通效率。
此外,交通灯仿真还可以用于交通安全教育,让行人和驾驶员更好地理解交通灯的意义和规则。
五、总结本文以51单片机为基础,介绍了交通灯仿真的原理和实现过程。
通过硬件连接、程序编写、烧录和测试等步骤,可以实现交通灯的仿真效果。
基于QuartusⅡ的十字路口交通灯控制电路设计与仿真实现西安交通大学 黄览小十字路口车辆通行能否保持较高的效率,将决定城市交通管理水平。
本文结合十字路口交通灯控制要求,采用QuartusⅡ实现了交通灯控制电路设计,并对设计效果进行了仿真分析。
从仿真结果来看,交通灯控制电路可以在车流量过大时将道路通行时间由60s增加至90s,并在车流量过小时将通行时间由60s减少至30s,因此能够实现十字路口车辆通行量的动态控制。
:引言:伴随着私家车数量的不断增加,城市交通压力日渐增大。
而加强十字路口交通灯控制,则能起到缓解城市交通堵塞的重要作用。
目前在交通灯控制设计方面,采用传统的设计形式已经无法满足交通灯的动态控制需求。
为此,还要引入先进的设计软件,采用QuartusⅡ实现十字路口交通灯控制电路设计与仿真分析,提高十字路口的车辆通行效率。
1.QuartusⅡ概述在现代电子产品设计方面,主要采用Electronic Design Automation 技术,即EDA技术。
采用该技术,能够实现对可编程逻辑器件的大规模运用,并通过硬件描述实现系统逻辑表达,然后进行软件开发和实验,最终利用软件完成硬件设计。
伴随着科学技术的发展,新的软件工具得到了进一步开发。
现阶段,可以采用能够实现现场编程的Quar-tusⅡ工具。
QuartusⅡ由Altera公司开发,属于综合性CPLD/FPGA开发软件,能够实现原理图、VerilogHDL等各种设计形式的输入,同时能够利用内部综合器以及仿真器完成设计输入和硬件配置。
2.基于QuartusⅡ的十字路口交通灯控制电路设计2.1 设计要求设计十字路口交通灯控制电路,目的在于实现交通灯信号的控制。
而十字路口由东南方向主干道和西北方向支干道交叉形成,采用的交通灯有黄、绿、红三种,绿灯表示通行,红灯则禁止通行,黄灯为变道提示。
在交通灯控制方面,要求使主干道保持长时间绿灯,同时支干道保持长时间红灯。
基于Proteus的智能交通灯设计与仿真实现智能交通灯是一种通过传感器和智能控制系统实现交通信号灯的智能化管理,能够根据交通流量和道路状况进行智能调控,以提高交通效率和减少交通堵塞。
本文将基于Proteus软件进行智能交通灯的设计和仿真实现。
首先,我们需要明确智能交通灯的基本功能和设计要求。
智能交通灯主要需要实现以下功能:1.根据交通流量进行智能控制。
通过传感器检测道路上的交通流量,智能交通灯可以根据实时的交通情况智能地调整信号灯的时间,以提高交通效率。
2.考虑不同道路的优先级。
在交叉路口附近,智能交通灯需要根据不同道路的优先级来调整信号灯的时间,以确保交通的顺畅和安全。
3.考虑行人的过马路需求。
智能交通灯需要合理地安排行人的过马路时间,以保证行人的安全和顺畅。
接下来,我们将使用Proteus软件进行智能交通灯的设计和仿真实现。
Proteus是一款电子电路设计和仿真软件,可以用来模拟和验证电子电路的性能和功能。
首先,我们需要设计智能交通灯的硬件电路。
在Proteus中,我们可以使用元器件库中的LED灯和开关等元件来构建交通灯的电路。
同时,我们还需要添加传感器来检测交通流量和行人的需求。
在设计电路的过程中,我们需要考虑不同道路的优先级和行人的过马路需求。
根据道路的优先级,我们可以设置不同道路对应的信号灯的亮灭时间。
同时,我们还可以设置传感器来检测行人的需求,以在需要的时候提供行人过马路的时间。
完成电路设计后,我们可以使用Proteus中的仿真功能来验证电路的性能和功能。
在仿真过程中,可以模拟不同道路的交通流量和行人的过马路需求,以观察交通灯是否能够根据实时情况进行智能调控。
在仿真过程中,我们可以观察交通灯的状态变化和信号灯的亮灭时间,以评估交通灯的性能和效果。
如果发现问题,我们可以对电路进行调整和优化,以提升交通灯的智能化管理能力。
总结起来,基于Proteus的智能交通灯设计和仿真实现是一种高效且可靠的方法。
基于数字电路的交通灯控制器的设计与仿真作者:宋朝君来源:《电子技术与软件工程》2013年第20期摘要把数字电路的设计与EDA仿真软件相互结合,设计一个十字路口交通灯控制器。
该控制器能够实现对十字路口交通信号灯控制。
利用EDA这种高效的设计平台,能够方便地设计电路,并通过对电路仿真来验证电路是否达到设计要求。
与传统的设计方法相比,它具有灵活性强、低成本、高效率的优越性。
【关键词】 EDA 数字电路电路仿真数字电路主要有组合逻辑电路和时序逻辑电路两部分组成,交通灯控制器的设计既可以涉及到这两部分的基本原理的运用,又可以锻炼学生对数电综合电路的设计和分析能力,因此交通灯控制器的设计是数字电路一个很好的教学题材,在完成电路设计的同时配合电子设计自动化(EDA)教学,学生无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,EDA可以很好地、很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来。
并且可以用虚拟仪器技术创造出真正属于自己的仪表。
极大地提高了学员的学习热情和积极性。
真正的做到了变被动学习为主动学习。
目前在各高校教学中普遍使用EDA仿真软件是Multisim10.1,是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
下面介绍以Muitisim10.1 为平台设计一个十字路口交通控制器系统的过程.1 设计要求设计一个十字路口的交通灯控制器,要求主干道和支干道交替运行,主干道每次通行时间都设为30秒;支干道每次通行时间都设为20秒;绿灯可以通行,红灯禁止通行;每次绿灯变红灯时,要求黄灯先亮5秒钟(此时另干道的红灯不变);十字路口要有数字显示,作为等候的时间提示。
要求主干道和支干道通行时间及黄灯亮的时间均以秒为单位做减法计数。
黄灯亮时,原红灯按1Hz的频率闪烁。
2 交通控制器电路设计与仿真2.1 状态控制器的设计根据设计要求,主干道和支干道红、绿、黄灯正常工作时,只有四种可能:主干道车道绿灯亮,支干道车道红灯亮,用S0表示,绿灯亮足规定的时间间隔30秒时,控制器发出状态转换信号,转到下一工作状态;主干道车道黄灯亮,支干道车道红灯闪烁,用S1表示,黄灯亮规定的时间间隔5秒时,控制器发出状态转换信号,转到下一工作状态;主干道车道红灯亮,支干道车道绿灯亮,用S2表示,绿灯亮足规定的时间间隔20秒时,控制器发出状态转换信号,转到下一工作状态;主干道车道红灯闪烁,支干道车道黄灯亮,用S3表示,黄灯亮足规定的时间间隔5秒是,控制器发出状态转换信号,系统又转换到最初种状态。
注:0表示灯灭,1表示灯亮。
3、扩展功能能够用倒计时计数及显示模块,实现有效状态下交通灯的持续亮的时间,且用数码管显示,方便行人。
二、总体方案设计方案:用时间控制交通灯的状态转换1、原理本方案的主要思想是用时间控制交通灯状态的转换,时间变化是有规律的。
先南北直行红灯亮,而后黄灯亮3秒,再直行绿灯亮27秒,黄灯亮3秒;然后南北转弯绿灯亮,黄灯亮,南北交通灯都亮红灯;东西交通灯以同样规律变化。
(1)每次绿灯变红灯时,要求黄灯先亮3秒,黄灯亮时,绿灯灭。
(2)要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)时均有倒计时显示。
所以基本符合现实功能,能够指挥车辆在十字路口完成左转和不同路口的直行。
2、基本功能、扩展功能分析考虑交通灯的功能,一个十字路口至少需8组交通灯:东西南北各两组,一组指挥转弯,一组指挥直行。
而设计的关键是控制交通灯的亮灭。
考虑南北、东西方向灯的亮灭规律相同,故可以考虑用四组交通灯来模拟实际的八组交通灯:东西两组灯,南北两组灯,分别用来指示转弯和直行。
可用计数器控制时间,在不同的时间显示不同的灯。
根据设计分析,可以采用如下方案实现交通灯显示:通过计数来计时,不同的时间输出不同的使能信号,使各方向的不同交通灯显示不同的颜色。
夜间车少需交通灯,则红灯、绿灯灭,黄灯闪烁使司机明白前方为十字路口,小心行驶。
倒计时显示需设计不同的倒计时计数器,显示不同方向交通灯的显示时间,通过数码管显示时间,使行人方便。
3、总体方案(1)结构图(2)主要模块交通灯设计主要分以下几个模块:时钟分频模块,交通灯亮灭控制模块,交通灯显示模块,倒计时计数模块,倒计时显示模块。
①时钟分频模块可以将10MHz的信号,用一个二进制计数器,对其进行分频,从而得到适合的频率。
选一个合适的作为时间计数器的扫描信号,另外再选一个作为数码管选通电路的触发信号。
本方案是用一个24位的计数器,倒计时计数的周期比较慢,而数码管比较快所以可以将分得的23位和10位分别给两者作为扫描信号。
交通灯控制器数字电路的设计及仿真随着城市化进程的加快,交通量越来越大,如何科学有效地管理交通成为一个重要的问题。
其中,交通灯控制器是一个涉及电子电路技术的重要设备。
基于数字电路的设计和仿真,进一步提高交通灯控制器的精度和稳定性,对于保障交通安全、提高城市交通效率至关重要。
一、设计方案1.计算时序交通灯控制器的每个阶段均有确定的时间,因此需要计算时序以确定各个信号时序是否正确,以及控制灯的开关时间是否正确。
2.设计状态机根据计算好的时序,可以通过 ISE 设计工具绘制状态图,然后再利用 Verilog HDL 语言编写出状态机。
交通灯控制器的每个阶段都有一个对应的状态,状态机会根据输入信号的状态来判断当前处于何种状态,并根据状态判断应该输出什么信号。
3.确定数字电路结构利用 ISE 设计工具,可以采用 Combinational Logic Circuit 来设计灯的开关逻辑电路,时序电路中以时钟触发器为主。
可以通过该工具绘制仿真波形来检测电路的正确性,检查信号间是否存在错误。
二、仿真过程1.绘制输入信号波形首先,需要绘制出输入信号的波形,并且在仿真时要按照相应的频率和占空比输出。
2.对仿真波形进行仿真分析仿真过程中,可以通过 Xilinx 仿真工具,对仿真波形进行分析,检测电路的正确性和稳定性。
同时,可以通过仿真过程中的输出信号波形,判断各阶段信号的状态。
3.检验仿真结果与设计方案借助仿真工具,可以非常直观地验证数字电路的设计方案是否合理、可靠。
此外,还可以通过不同的应用场景,不断优化和调整设计方案,以实现更高的效率与精度。
三、总结数字电路的设计和仿真,可以有效地提高交通灯控制器的精度和稳定性,在城市交通管理中起到关键的作用。
当前数字电路技术的不断推进,为实现更加高效安全的交通管理提供了强有力的支持。
湖北民族学院信息工程学院课程设计报告书题目: 基于multisim的交通信号灯的设计与仿真课程:电子线路课程设计专业:电气工程及其自动化班级:0312406学号:031240610学生姓名:崔亮指导教师:杨庆2015年1月8日2015 年 1 月8 日摘要由于电子技术的飞速发展,集成电路和电子系统的复杂程度大概是6年提高10倍,因此电子系统的复杂程度也在相应提高。
简单的手工设计方法已无法满足现代电子系统设计的要求。
因此许多软件公司纷纷研制采用自上而下设计方法的计算机辅助设计系统。
在20世纪70年代中叶有了基于手工布局布线的第一代ECAD工具(计算机辅助设计),1981—1982年出现了基于原理设计仿真的第二代EDA系统(电子自动化)。
EDA是在计算机辅助设计(CAD)技术的基础发展起来的计算机设计软件系统。
与早期的CAD软件比较,EDA软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互换性。
电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。
到1987—1988年又推出了基于RTL(寄存器传输语言)的设计、仿真、逻辑综合的第三代EDA技术。
时至今日,又是十多年过去了,电子系统的复杂程度又提高了10多倍。
从事电子产品设计、开发等工作的人员,经常要求对所有设计的电路进行实物模拟和调试。
其目的的一方面是为了验证所设计的电路是否能达到设计要求的技术指标,另一方面通过改变电路中元器件的参数,使整个电路性能达到最佳值。
加拿大Interactive Image Tecnologie 公司推出的EWB (Electrical Workbench)软件可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便等特点,创建电路、选用原件和测试仪器均可以图形方式直观完成。
该软件有较为详细的电路分析手段,如电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析,以及离散傅里叶分析、电路零极点分析、交直流灵敏分析和电路容差分析等共计十四种电路分析方法。
单片机综合实验报告题目: 模拟真实交通灯班级:姓名:学号:指导老师:时间:一、实验内容:用8255芯片的PA、PB口低四位做输出口,控制十二个发光二极管燃灭,模拟十字路口交通灯管理,并利用数码显示器进行倒计时显示(采用单片机内部定时器定时)。
通过外部中断能使交通灯暂停运行,并点亮4个红灯。
通过16*16点阵中的图形模拟控制行人过马路的人形“走”、“停”指示灯,可参考下图所示。
选做增加项目:在交通灯开始之前可通过开关对红绿灯亮灭时间的初始值进行增、减设定或者交通灯暂停时加上乐曲报警。
二、实验电路及功能说明电路:74LS138译码器电路8255与发光二极管连线图数码LED显示器电路(不需接线)16×16LED点阵显示电要求:交通灯亮灭过程同“8255控制交通灯实验”,倒计时显示只需两位数(0~99),用定时器定时进行倒计时,每秒钟减1。
在16*16点阵中显示的人形“走”、“停”标志可自定义,由专门软件可转换为相应显示代码,不需自己推理。
三、实验程序流程图:主程序:子程序:详细程序请参考程序清单。
四、实验结果分析对程序进行仿真可以观察到:点阵中交替显示如图(a)、(b)所示图像,且交替显示时间为30秒。
当显示图像为(a)时,表示可以容行人通过,限时30秒;当显示图像为(b)时,表示不容行人通过,也限时30秒。
如此,在十字路口各置一对点阵即可模拟实景。
五、心得体会通过此次实验,对单片机的I/O口的使用的条件有了更深的理解,对单片机的各个管脚功能的理解也加深了,以及在常用编程设计思路技巧的掌握方面也向前迈了一大步。
这次的课程设计让我把单片机的理论知识应用在实践中,实现了理论和实践相结合,从中更懂得理论是实践的基础,实践有助于检验理论的正确性的道理,对我以后参加工作或者继续学习深造将产生巨大的帮助和影响。
六、程序清单#include <reg51.h>#include <absacc.h>#define uchar unsigned char#define uint unsigned int#define ROW1 XBYTE[0XFFE3]#define ROW2 XBYTE[0XFFE0]#define COL1 XBYTE[0XFFE2]#define COL2 XBYTE[0XFFE1]#define PA XBYTE[0xffd8]#define PB XBYTE[0xffd9]#define CTL XBYTE[0xffdb]#define SEG XBYTE[0xffdc]#define BIT XBYTE[0xffdd]#define allredend 10#define ewredend 2*ewstarter+allredend#define snyellowend ewredend+10#define snredend snyellowend+2*snstarter#define ewyellowend snredend+10sbit KEY1=P1^0;sbit KEY2=P1^1;sbit KEY3=P1^2;sbit P32=P3^2;uchar tongBu;uchar code ewTable[]={0xb6,0x75,0xf3,0xf7,0xae,0x9e,0xbe};uchar code nsTable[]={0xd,0xd,0xc,0xd,0xb,0x7,0xf};//uchar tempa,tempb;int time=1,cnt,change,intflag,inttime=1,ewstarter=10,snstarter=15;int tempseg;uchar key1=0;uchar buffer[]={0,0,0,0,0,0};uchar table[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0xff};/*-- 行走--*//*-- 宽度x高度=16x16 --*/uchar code led1[]={0x01,0x80,0x02,0x40,0x02,0x40,0x01,0x80,0x03,0xC0,0x06,0x60,0x0A,0x50,0x0A,0x5 0,0x0B,0xD0,0x12,0x48,0x02,0x40,0x02,0x60,0x04,0x20,0x04,0x20,0x08,0x20,0x18,0x60};/*-- 停止--*//*-- 宽度x高度=16x16 --*/uchar code led2[]={0x01,0x80,0x02,0x40,0x02,0x40,0x01,0x80,0x07,0xE0,0x7E,0x7E,0x02,0x40,0x02,0x40 ,0x03,0xC0,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,0x03,0xC0};/*-- 文字: 高--*//*-- Fixedsys12; 此字体下对应的点阵为:宽x高=16x16 --uchar code led2[]={0x02,0x00,0x01,0x00,0xFF,0xFE,0x00,0x00,0x0F,0xE0,0x08,0x20,0x0F,0xE0,0x00,0x0 0,0x7F,0xFC,0x40,0x04,0x4F,0xE4,0x48,0x24,0x48,0x24,0x4F,0xE4,0x40,0x14,0x40,0x08};*/ void delayshort(){char n;for(n=50;n>0;n--);}uchar changeleft(uchar led){uchar temp;temp=0;temp|=(led<<7)&0x80;temp|=(led<<5)&0x40;temp|=(led<<3)&0x20;temp|=(led<<1)&0x10;temp|=(led>>1)&0x08;temp|=(led>>3)&0x04;temp|=(led>>5)&0x02;temp|=(led>>7)&0x01;return(temp);}void led16_16display(uchar *table,uchar length){uchar i=length/2,scan1=0x1,scan2=0x1;for(i=0;i<16;i++){if(i<8){ROW1=0;ROW2=0;COL1=scan1;COL2=0;ROW1=changeleft(table[2*i]);ROW2=table[2*i+1];COL1=scan1;COL2=0;delayshort();scan1<<=1;}else{ROW1=0;ROW2=0;COL1=0;COL2=scan2;ROW1=changeleft(table[2*i]);ROW2=table[2*i+1];COL1=0;COL2=scan2;delayshort();scan2<<=1;}}}void changeseg(){if(key1==0){buffer[3]=10;buffer[0]=10;buffer[5]=tempseg%10;buffer[4]=tempseg/10;buffer[2]=tempseg%10;buffer[1]=tempseg/10;}else if(key1==1){buffer[3]=10;buffer[0]=10;buffer[5]=ewstarter%10;buffer[4]=ewstarter/10;buffer[2]=ewstarter%10;buffer[1]=ewstarter/10;}else{buffer[3]=10;buffer[0]=10;buffer[5]=snstarter%10;buffer[4]=snstarter/10;buffer[2]=snstarter%10;buffer[1]=snstarter/10;}}void timer1()interrupt 3{static uchar temp=0x20,cnt1;TH1=(65536-1000)/256;TL1=(65536-1000)%256;changeseg();SEG=0xff;SEG=table[buffer[cnt1]];cnt1++;if(cnt1==6)cnt1=0;BIT=temp;temp>>=1;if(temp==0)temp=0x20;}void int_0()interrupt 0{delayshort();if(P32==0){PA=0xB6;PB=0xd;PT0=1;PT1=1;intflag=1;while(inttime<=20)led16_16display(led2,32);inttime=1;intflag=0;PT0=0;PT1=0;PA=ewTable[tongBu];PB=nsTable[tongBu];}}void timer0()interrupt 1{TH0=(65536-50000)/256;TL0=(65536-50000)%256;cnt++;if(cnt==5){cnt=0;if(intflag==1){inttime++;tempseg=10-inttime/2;}else{time++;if(time<=allredend){tongBu=0;PA=ewTable[tongBu];PB=nsTable[tongBu];tempseg=allredend/2-(time+1)/2;}else if((time>allredend)&&(time<=ewredend)){tongBu=1;PA=ewTable[tongBu];PB=nsTable[tongBu];tempseg=ewstarter+allredend/2-(time+1)/2;}else if((time>ewredend)&&(time<=snyellowend)){if(change==0){tongBu=2;PA=ewTable[tongBu];PB=nsTable[tongBu];change=1;}else{tongBu=3;PA=ewTable[tongBu];PB=nsTable[tongBu];change=0;}tempseg=ewstarter+allredend/2+5-(time+1)/2;}else if((time>snyellowend)&&(time<=snredend)){tongBu=4;PA=ewTable[tongBu];PB=nsTable[tongBu];tempseg=ewstarter+allredend/2+5+snstarter-(time+1)/2;}else if((time>snredend)&&(time<=ewyellowend)){if(change==0){tongBu=5;PA=ewTable[tongBu];PB=nsTable[tongBu];change=1;}else{tongBu=6;PA=ewTable[tongBu];PB=nsTable[tongBu];change=0;}tempseg=ewstarter+10+allredend/2+snstarter-(time+1)/2;}else{tongBu=1;time=allredend+1;PA=ewTable[tongBu];PB=nsTable[tongBu];tempseg=ewstarter+allredend/2-(time+1)/2;}}}}void key(){uchar keynum;keynum=~(P1|0XF8);switch(keynum){case 0x1:while(KEY1==0)led16_16display(led2,32);key1++;TR0=0;if(key1==3){key1=0;TR0=1;}break;case 0x2:while(KEY2==0)led16_16display(led2,32);if(key1==1){ewstarter++;if(ewstarter==100)ewstarter=0;}if(key1==2){snstarter++;if(snstarter==100)snstarter=0;}break;case 0x4:while(KEY3==0)led16_16display(led2,32);if(key1==1){ewstarter--;if(ewstarter==-1)ewstarter=99;}if(key1==2){snstarter--;if(snstarter==-1)snstarter=99;}break;default:break;}}void main(){IE=0x8b;IT0=1;TMOD=0x11;TH0=(65536-50000)/256;TL0=(65536-50000)%256;TH1=(65536-1000)/256;TL1=(65536-1000)%256;CTL=0x80;tongBu=0;TR1=1;PA=ewTable[tongBu];PB=nsTable[tongBu];tempseg=allredend/2-(time+1)/2;TR0=1;while(1){key();if(intflag==0){if(key1==0){if(time<=allredend)led16_16display(led2,32);else if(time>allredend&&time<=snyellowend)led16_16display(led1,32);else if(time>snyellowend&&time<=ewyellowend)led16_16display(led2,32);}elseled16_16display(led2,32);}}}。
交通灯控制电路设计与仿真一、实验目的1、了解交通灯的燃灭规律。
2、了解交通灯控制器的工作原理。
3、熟悉VHDL 语言编程,了解实际设计中的优化方案。
二、实验原理交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。
要完成本实验,首先必须了解交通路灯的燃灭规律。
本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。
依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。
其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。
闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。
闪烁若干次后,再切换到东西路口方向,重复上述过程。
在实验中使用8 个七段码管中的任意两个数码管显示时间。
东西路和南北路的通车时间均设定为20s。
数码管的时间总是显示为19、18、17……2、1、0、19、18……。
在显示时间小于3 秒的时候,通车方向的黄灯闪烁。
三、实验内容本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。
系统时钟选择时钟模块的1KHz时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz 的频率闪烁。
系统中用S1 按键进行复位。
实验箱中用到的数字时钟模块、按键开关、数码管与FPGA 的接口电路,以及数字时钟源、按键开关、数码管与FPGA 的管脚连接在以前的实验中都做了详细说明,这里不在赘述。
交通灯模块原理与LED 灯模块的电路原理一致,当有高电平输入时LED 灯就会被点亮,反之不亮。
目录摘要 (3)第一章设计任务与要求 (4)第二章基本方案 (4)2. 1方案一 (4)2. 2方案二 (4)2. 3方案三 (4)第三章方案选择 (4)第四章电路原理图 (4)4. 1计数模块电路 (4)4. 2 红灯闪烁电路 (5)4. 3数码管显示电路 (5)4. 4 194模块 (8)4. 5主体电路图 (10)4. 6元器件清单 (10)第五章电路调试 (11)5. 1 Protues软件仿真 (11)5. 2硬件电路调试 (11)5.2.1 测试电路 (12)5.2.2硬件测试出现问题及解决方案 (14)第六章电路测试后总结 (15)6. 1设计小结 (15)6. 2设计缺点 (15)6. 3系统性能分析 (15)参考文献 (15)摘要中文摘要:在城市交通道路中,由两条道路的汇合点所形成的十字交叉路口很多。
为了确保交通安全及车辆的迅速、有效的通行,在交叉路口的每个入口处需要设置红、绿、黄三色信号灯。
对于机动车来说,红灯亮时,禁止通行;绿灯亮时,则允许通行;黄灯亮时,则提示司机将行使中的车辆减速并准备停下来。
十字路口交通灯的控制逻辑采用数字电路很容易实现。
根据交通灯控制的点功能不同,有简单的红、黄、绿三色交通灯控制电路。
有带时间显示的控制电路;有主干道与支干道通行时间不同的控制电路;有带时间转换功能的交通灯控制电路;有手动控制电路。
一般交通灯控制电路主要由定时器、控制器、译码和显示几个部分组成。
关键词:交通灯定时器控制器English abstract:Cross intersection formed by the confluence of two roads point a lot of urban traffic road. Each entrance of the intersection, in order to ensure traffic safety and vehicle rapid, effective access need to set the red, green, and yellow signal lights. For motor vehicles, for the red light, closed to traffic; When the green light is allowed access; yellow light, prompting the driver will exercise in the vehicle to slow down and prepare to stop.Crossroads traffic light control logic digital circuit is very easy to implement. Depending on the traffic light control point function, a simple red, yellow and green traffic lights control circuit. With time display control circuit; access main roads and branch roads at different times of the control circuit; traffic light control circuit with time conversion functions; manual control circuit. General traffic light control circuit by timer, controller, decode and display several partsThe adjustable power products can be widely applied in defense, scientific research, colleges and universities, laboratories, industrial and mining enterprises, electrolysis, electroplating, charging equipment, etc. Keywords: Traffic light timer controller一、设计任务与要求1.按照红-绿-黄顺序轮流点亮2.东西向绿灯与南北向红灯不同时亮,南北向绿灯与东西向红灯不同时亮3.东西向黄灯点亮时南北向红灯闪烁,南北向黄灯点亮时东西向红灯闪烁4.当绿灯亮时数显开始倒计时二、基本方案2.1方案一用晶振产生频率,cd4060和741s74产生1HZ时钟频率,用移位寄存器74ls164来控制。
数字设计课程实验报告实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号:培养类型:年级:专业:所属学院:指导教员:职称:实验室:实验日期:交通灯控制逻辑电路的设计与仿真实现一、实验目的:1. 熟悉Multisim仿真软件的主要功能和使用;2. 熟悉各种常用的MSI时序逻辑电路的功能和使用;3. 运用逻辑设计知识,学会设计简单实用的数字系统;二、实验任务及要求:1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路;每个干道各一组指示灯红、绿、黄;要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着;2.要求:1分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图;2设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;3设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;4用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图;在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确;5在Multisim仿真平台上形成整个系统完整的电路,统调测试结果;三、设计思路与基本原理:依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示;其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行;在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试;接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试;最后完成定时电路的设计与调试;整合电路,形成整个系统完整的电路,统调测试结果;图错误!未定义书签。
交通灯控制电路设计与仿真一、实验目的1、了解交通灯的燃灭规律。
2、了解交通灯控制器的工作原理。
3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。
二、实验原理交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。
要完成本实验,首先必须了解交通路灯的燃灭规律。
本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。
依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。
其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。
闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。
闪烁若干次后,再切换到东西路口方向,重复上述过程。
在实验中使用 8 个七段码管中的任意两个数码管显示时间。
东西路和南北路的通车时间均设定为 20s。
数码管的时间总是显示为 19、18、17……2、1、0、19、18……。
在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。
三、实验内容本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。
系统时钟选择时钟模块的 1KHz时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。
系统中用 S1 按键进行复位。
实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说明,这里不在赘述。
交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输入时 LED 灯就会被点亮,反之不亮。
只是 LED 发出的光有颜色之分。
其与 FPGA 的管脚连接如下表 19-1 所示:四、实验步骤1、打开 QUARTUSII 软件,新建一个工程。
2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。
3、按照实验原理和自己的想法,在 VHDL 编辑窗口编写 VHDL 程序,用户可参照光盘中提供的示例程序。
4、编写完 VHDL 程序后,保存起来。
(1)-jtdkz.vhdlibrary ieee;use ieee.std_logic_1164.all;entity jtdkz isport(clk,sm,sb:in std_logic;mr,my0,mg0,br,by0,bg0:out std_logic);end entity jtdkz;architecture art of jtdkz istype state_type is(A,B,C,D);signal state:state_type;begincnt:process(clk) isvariable s:integer range 0 to 45;variable clr,en:bit;beginif(clk'event and clk='1')thenif clr='0'then s:=0;elsif en='0' then s:=s;else s:=s+1;end if;case state iswhen A=>mr<='0';my0<='0';mg0<='1';br<='1';by0<='0';bg0<='0';if(sb and sm)='1' thenif s=45 then state<= B;clr:='0';en:='0';else state<=A;clr:='1';en:='1';end if;elsif(sb and(not sm))='1'then state<=B;clr:='0';en:='0';else state<=A;clr:='1';en:='1';end if;when B=>mr<='0';my0<='1';mg0<='0';br<='1';by0<='0';bg0<='0';if s=5 then state<=C;clr:='0';en:='0';else state<=B;clr:='1';en:='1';end if;when C=>mr<='1';my0<='0';mg0<='0';br<='0';by0<='0';bg0<='1';if(sb and sm)='1' thenif s=25 then state<= D;clr:='0';en:='0';else state<=C;clr:='1';en:='1';end if;elsif sb='0' then state<=D;clr:='0';en:='0';else state<=C;clr:='1';en:='1';end if;when D=>mr<='1';my0<='0';mg0<='0';br<='0';by0<='1';bg0<='0'; if s=5 then state<=A;clr:='0';en:='0';else state<=D;clr:='1';en:='1';end if;end case;end if;end process cnt;end architecture art;(2)cskz.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cskz isport(ina:in std_logic;outa:out std_logic);end entity cskz;architecture art of cskz isbeginprocess(ina) isbeginif ina='1' then outa<='1';else outa<='0';end if;end process;end architecture art;(3)—cnt45s.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt45s isport(sb,clk,en45:in std_logic;dout45m,dout45b:out std_logic_vector(7 downto 0)); end entity cnt45s;architecture art of cnt45s issignal cnt6b:std_logic_vector(5 downto 0);beginprocess(sb,clk,en45)isbeginif sb='0'then cnt6b<=cnt6b-cnt6b-1;elsif(clk'event and clk='1')thenif en45='1'then cnt6b<=cnt6b+1;elsif en45='0' then cnt6b<=cnt6b-cnt6b-1;end if;end if;end process;process(cnt6b)isbegincase cnt6b iswhen "000001"=>dout45m<="01000100";dout45b<="01001001"; when "000010"=>dout45m<="01000011";dout45b<="01001000"; when "000011"=>dout45m<="01000010";dout45b<="01000111"; when "000100"=>dout45m<="01000001";dout45b<="01000110"; when "000101"=>dout45m<="01000000";dout45b<="01000101"; when "000110"=>dout45m<="00111001";dout45b<="01000100"; when "000111"=>dout45m<="00111000";dout45b<="01000011"; when "001000"=>dout45m<="00110111";dout45b<="01000010"; when "001001"=>dout45m<="00110110";dout45b<="01000001"; when "001010"=>dout45m<="00110101";dout45b<="01000000"; when "001011"=>dout45m<="00110100";dout45b<="01101001"; when "001100"=>dout45m<="00110011";dout45b<="00111000"; when "001101"=>dout45m<="00110010";dout45b<="00110111"; when "001110"=>dout45m<="00110001";dout45b<="00110110"; when "001111"=>dout45m<="00110000";dout45b<="00110101"; when "010000"=>dout45m<="00101001";dout45b<="00110100"; when "010001"=>dout45m<="00101000";dout45b<="00110011"; when "010010"=>dout45m<="00100111";dout45b<="00110010"; when "010011"=>dout45m<="00100110";dout45b<="00110001"; when "010100"=>dout45m<="00100101";dout45b<="00110000"; when "010101"=>dout45m<="00100100";dout45b<="00101001"; when "010110"=>dout45m<="00100011";dout45b<="00101000"; when "010111"=>dout45m<="00100010";dout45b<="00100111"; when "011000"=>dout45m<="00100001";dout45b<="00100110"; when "011001"=>dout45m<="00100000";dout45b<="00100101"; when "011010"=>dout45m<="00011001";dout45b<="00100100"; when "011011"=>dout45m<="00011000";dout45b<="00100011"; when "011100"=>dout45m<="00010111";dout45b<="00100010"; when "011101"=>dout45m<="00010110";dout45b<="00100001"; when "011110"=>dout45m<="00010101";dout45b<="00100000"; when "011111"=>dout45m<="00010100";dout45b<="00011001"; when "100000"=>dout45m<="00010011";dout45b<="00011000"; when "100001"=>dout45m<="00010010";dout45b<="00010111"; when "100010"=>dout45m<="00010001";dout45b<="00010110"; when "100011"=>dout45m<="00010000";dout45b<="00010101"; when "100100"=>dout45m<="00001001";dout45b<="00010100"; when "100101"=>dout45m<="00001000";dout45b<="00010011"; when "100110"=>dout45m<="00000111";dout45b<="00010010"; when "100111"=>dout45m<="00000110";dout45b<="00010001"; when "101000"=>dout45m<="00000101";dout45b<="00010000"; when "101001"=>dout45m<="00000100";dout45b<="00001001"; when "101010"=>dout45m<="00000011";dout45b<="00001000"; when "101011"=>dout45m<="00000010";dout45b<="00000111";when others=>dout45m<="00000000";dout45b<="00000000"; end case;end process;end architecture art;(4)cnt05s.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt05s isport(clk,en05m,en05b:in std_logic;dout5:out std_logic_vector(7 downto 0));end entity cnt05s;architecture art of cnt05s issignal cnt3b:std_logic_vector(2 downto 0);beginprocess(clk,en05m,en05b)isbeginif(clk'event and clk='1')thenif en05m='1'then cnt3b<=cnt3b+1;elsif en05b='1'then cnt3b<=cnt3b+1;elsif en05b='0'then cnt3b<=cnt3b-cnt3b-1;end if;end if;end process;process(cnt3b)isbegincase cnt3b iswhen "000"=>dout5<="00000101";when "001"=>dout5<="00000100";when "010"=>dout5<="00000011";when "011"=>dout5<="00000010";when "100"=>dout5<="00000001";when others=>dout5<="00000000";end case;end process;end architecture art;(5)—cnt25s.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt25s isport(sb,sm,clk,en25:in std_logic;dout25m,dout25b:out std_logic_vector(7 downto 0)); end entity cnt25s;architecture art of cnt25s issignal cnt5b:std_logic_vector(4 downto 0);beginprocess(sb,sm,clk,en25)isbeginif sb='0'then cnt5b<=cnt5b-cnt5b-1;elsif sm='0' then cnt5b<=cnt5b-cnt5b-1;elsif(clk'event and clk='1') thenif en25='1' then cnt5b<=cnt5b+1;elsif en25='0' then cnt5b<=cnt5b-cnt5b-1;end if;end if;end process;process(cnt5b)isbegincase cnt5b iswhen "00000"=>dout25b<="00100101";dout25m<="00110000";when "00001"=>dout25b<="00100100";dout25m<="00101001";when "00010"=>dout25b<="00100011";dout25m<="00101000";when "00011"=>dout25b<="00100010";dout25m<="00100111";when "00100"=>dout25b<="00100001";dout25m<="00100110";when "00101"=>dout25b<="00100000";dout25m<="00100101";when "00110"=>dout25b<="00011001";dout25m<="00100100";when "00111"=>dout25b<="00011000";dout25m<="00100011";when "01000"=>dout25b<="00010111";dout25m<="00100010";when "01001"=>dout25b<="00010110";dout25m<="00100001";when "01010"=>dout25b<="00010101";dout25m<="00100000";when "01011"=>dout25b<="00010100";dout25m<="00011001";when "01100"=>dout25b<="00010011";dout25m<="00011000";when "01101"=>dout25b<="00010010";dout25m<="00010111";when "01110"=>dout25b<="00010001";dout25m<="00010110";when "01111"=>dout25b<="00010000";dout25m<="00010101";when "10000"=>dout25b<="00001001";dout25m<="00010100";when "10001"=>dout25b<="00001000";dout25m<="00010011";when "10010"=>dout25b<="00000111";dout25m<="00010010";when "10011"=>dout25b<="00000110";dout25m<="00010001";when "10100"=>dout25b<="00000101";dout25m<="00010000";when "10101"=>dout25b<="00000100";dout25m<="00010001";when "10110"=>dout25b<="00000011";dout25m<="00001000";when "10111"=>dout25b<="00000010";dout25m<="00000111";when "11000"=>dout25b<="00000001";dout25m<="00000110";when others=>dout25b<="00000000";dout25m<="00000000";end case;end process;end architecture art;(6)—xskz.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xskz isport(en45,en25,en05m,en05b:in std_logic;ain45m,ain45b,ain25m,ain25b,ain05:in std_logic_vector(7 downto 0); doutm,doutb:out std_logic_vector(7 downto 0));end entity xskz;architecture art of xskz isbeginprocess(en45,en25,en05m,en05b,ain45m,ain45b,ain25m,ain25b,ain05)is beginif en45='1'then doutm<=ain45m(7 downto 0);doutb<=ain45b(7 downto 0);elsif en05m='1'then doutm<=ain05(7 downto 0);doutb<=ain05(7 downto 0); elsif en25='1'then doutm<=ain25m(7 downto 0);doutb<=ain25b(7 downto 0); elsif en05b='1'then doutm<=ain05(7 downto 0);doutb<=ain05(7 downto 0); end if;end process;end architecture art;(7)—ymq.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ymq isport(clk:in std_logic;ain41,ain42,ain43,ain44:in std_logic_vector(3 downto 0);del:out std_logic_vector(2 downto 0);dout7:out std_logic_vector(6 downto 0));end entity ymq;architecture art of ymq issignal ain4: std_logic_vector(3 downto 0);signal cnt: std_logic_vector(2 downto 0);beginprocess(clk)beginif clk'event and clk='1' thenif cnt="011" thencnt<="000";elsecnt<=cnt+1;end if;end if;end process;process(cnt,ain41,ain42,ain43,ain44)begincase cnt iswhen "000" => ain4<=ain41; del<="111";when "001" => ain4<=ain42; del<="110";when "010" => ain4<=ain43; del<="101";when "011" => ain4<=ain44; del<="100";when "100" => ain4<=ain41; del<="000";when "101" => ain4<=ain41; del<="000";when "110" => ain4<=ain41; del<="000";when "111" => ain4<=ain41; del<="000";end case;end process;process(ain4)isbegincase ain4 iswhen "0000"=>dout7<="0111111";when "0001"=>dout7<="0000110";when "0010"=>dout7<="1011011";when "0011"=>dout7<="1001111";when "0100"=>dout7<="1100110";when "0101"=>dout7<="1101101";when "0110"=>dout7<="1111101";when "0111"=>dout7<="0000111";when "1000"=>dout7<="1111111";when "1001"=>dout7<="1101111";when others=>dout7<="0000000";end case;end process;end architecture art;(8)—jtkzq.vhdlibrary ieee;use ieee.std_logic_1164.all;entity jtkzq isport(clk,sm,sb:in std_logic;mr,mg,my,by,br,bg:out std_logic;del1:out std_logic_vector(2 downto 0);dout:out std_logic_vector(6 downto 0));end entity jtkzq;architecture art of jtkzq iscomponent div_clk isport(clk : in std_logic;clk1:out std_logic);end component div_clk;component jtdkz isport(clk,sm,sb:in std_logic;mr,my0,mg0,br,by0,bg0:out std_logic); end component jtdkz;component cskz isport(ina:in std_logic;outa:out std_logic);end component cskz;component cnt45s isport(sb,clk,en45:in std_logic;dout45m,dout45b:out std_logic_vector(7 downto 0));end component cnt45s;component cnt05s isport(clk,en05m,en05b:in std_logic;dout5:out std_logic_vector(7 downto 0));end component cnt05s;component cnt25s isport(sb,sm,clk,en25:in std_logic;dout25m,dout25b:out std_logic_vector(7 downto 0));end component cnt25s;component xskz isport(en45,en25,en05m,en05b:in std_logic;ain45m,ain45b,ain25m,ain25b,ain05:in std_logic_vector(7 downto 0);doutm,doutb:out std_logic_vector(7 downto 0));end component xskz;component ymq isport(clk:in std_logic;ain41,ain42,ain43,ain44:in std_logic_vector(3 downto 0);del:out std_logic_vector(2 downto 0);dout7:out std_logic_vector(6 downto 0));end component ymq;signal clk11:std_logic;signal en1,en2,en3,en4:std_logic;signal s45m,s45b,s05,s25m,s25b:std_logic_vector(7 downto 0);signal ym1,ym2,ym3,ym4:std_logic_vector(3 downto 0);beginu1:div_clk port map(clk=>clk,clk1=>clk11);u2:jtdkz portmap(clk=>clk11,sm=>sm,sb=>sb,mr=>mr,my0=>en2,mg0=>en1,br=>br,by0=>en4,bg0=>en3) ;u3:cskz port map(ina=>en1,outa=>mg);u4:cskz port map(ina=>en2,outa=>my);u5:cskz port map(ina=>en3,outa=>bg);u6:cskz port map(ina=>en4,outa=>by);u7:cnt45s port map(clk=>clk11,sb=>sb,en45=>en1,dout45m=>s45m,dout45b=>s45b);u8:cnt05s port map(clk=>clk11,en05m=>en2,dout5=>s05,en05b=>en4);u9:cnt25s portmap(clk=>clk11,sm=>sm,sb=>sb,en25=>en3,dout25m=>s25m,dout25b=>s25b);u10:xskz portmap(en45=>en1,en05m=>en2,en25=>en3,en05b=>en4,ain45m=>s45m,ain45b=>s45b,ain25m= >s25m,ain25b=>s25b,ain05=>s05,doutm(3 downto 0)=>ym1,doutm(7 downto4)=>ym2,doutb(3 downto 0)=>ym3,doutb(7 downto 4)=>ym4);u11:ymq portmap(clk=>clk,ain41=>ym1,ain42=>ym2,ain43=>ym3,ain44=>ym4,del=>del1,dout7=>dout) ;end architecture art;(9)—div_clk.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div_clk isport(clk : in std_logic;clk1:out std_logic);end div_clk;architecture behav of div_clk issignal cnt:integer range 1000 downto 0;beginprocess(clk)beginif clk'event and clk='1' thenif cnt=999 thencnt<=0;elsecnt<=cnt+1;end if;if cnt<500 thenclk1<='0';elseclk1<='1';end if;end if;end process;end behav;5 引脚分配6 实验现象当主、支道均有车时,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5s的黄灯作为过度,并进行减计时显示。