当前位置:文档之家› 实验七报告-双面印制电路板设计

实验七报告-双面印制电路板设计

实验七报告-双面印制电路板设计
实验七报告-双面印制电路板设计

实验报告

实验七、双面印制电路板设计

一、实验目的

1、掌握双面印制电路板的设计过程与步骤。

2、熟悉印制电路板设计程序的工作环境与工作参数设置。

3、掌握印制电路板的设计规则设置的方法。

4、比较单面板与双面设计的异同。

5、要求做到能设计出较高质量的印制电路板。

二、实验原理简述

根据给出的电路图,设计双面板一块。设计的注意事项与关键环节同上一实验类似。主要区别在于:跟单面板比较,对于稍复杂的电路,因单面板布线受限于一面之内,有些线可能布不通,或布线效果不理想,此时可考虑采用双面板设计;双面板使用两面布线(Top Layer和Bottom Layer),而单面板只使用一面布线(Bottom Layer);双面板中可能有过孔存在,而单面板中没有过孔存在;双面板的布通率通常要比单面板的布通率要高,走线更方便;但就机械加工成本来看,双面板的制作费用高于单面板。在实际工程中,到底是使用几层板,要看具体情况。

本实验给出的电路比较简单(限于指导书的纸张小,无法给出较复杂的图纸),但也要求根据该简单电路设计出双面板,因为主要的目的是要求读者掌握双面板的设计过程,理解双面板与单面板设计的主要区别。

三、实验内容与主要步骤

1、绘制电路图,输入每个电路封装形式,进行ERC电气规则检查。

2、生成该电路的网络表。

3、新建一个PCB文件(*.pcb)。

4、设置工作环境参数(工作环境参数也可不设置,采用默认参数即可)。

5、设置相对坐标原点(用命令Edit/Origin/Set)。并在Keepout Layer层画线确定板子边框的尺寸与外形(若要精确按坐标定义板子的尺寸与形状,在画线时,配合使用J+L键进行)。

6、通过Design/Netlist命令,用网络表的形式调入PCB元件置工作界面(当然也可以在电路图SCH环境中,用同步器Design/Update PCB调入PCB元件,但建议使用网络表的形式)。这一步要注意的是网络表不能有错误,否则要回到电路图中去修改,再次生成网络表并保存覆盖原有网络表,直到网络表正确为止。

7、PCB设计规则设置,用命令Design/Rules,对各种规则进行设置(如元件安全距离、走线角度、板层设置、布线优先级、布线技术算法、过孔、线宽等)。规则较多,要求一定要理解各规则的含义和设置方法与技巧。

8、自动布局(用命令T ools/Auto Place)和手工布局。一般自动布局很难达到理想的布局效果,一般要采用自动布局和手工调整布局相结合的形式,或干脆就不使用自动布局,而直接使用手工布局。在布局时可使用排齐工具(或命令Tools/Align Components)来帮助进行调整。因布局阶段对印制电路板设计是最为

关键的环节,所以要求布局一定要符合规则(考虑的布局规则主要有:安全距离、

信号流方向、总连线最短、插座的布置、核心元件的布置、数模混合电路的布置、

高频元件的布置、抗干扰措施的安排、有利于工程安装、布局美观工整等)

9、密度分析与DRC规则检查。用命令Tools/Density Map进行密度图分析,看

布局是否合理,否则回到上一步,继续进行调整。并进行DRC规则检查。

10、自动布线规则设置(用命令Auto Route/Setup )与自动布线(用命令Auto Route/All,局部布线用Auto Route/Net(或Connection、Component、Area ))。11、修改与调整。(1)对布得不好的连线进行手工修改或调整。(2)处理好电源

线与地线(允许范围内,线尽可能粗些、短些)。(3)元件标号与参数的位置与

方向调整。(4)若有些部分要求进行特殊处理的要进行特殊处理(如补泪滴、铺

铜等)。(5)放置工程安装螺钉孔。(6)标注尺寸与坐标。

12、生成板子信息报表

13、生成材料清单并与电路图的材料清单比较。

14、保存

15、打印(在File/Setup Printer项设置参数)。

要求用分层(Final)打印方式,打印电路图、顶层(Top Layer)、底层(Bottom Layer)、丝印层(Silkscream)、焊盘层(Pad Master)、阻焊层与助焊层(Mask)。

D7

图A 根据该图设计双面印制电路板

四、实验报告要求

1、截取实验图:

图1 sch电路图

图2 PCB电路板

图3 顶层(Top Layer)打印截图

图4 底层(Bottom Layer)打印截图

图5 丝印层(Silkscream)打印截图

图6 焊盘层(Pad Master)打印截图

图7 阻焊层与助焊层(Mask)打印截图

2、在实验原始数据记录栏中,填写本次实验所用到的PCB元件库名称、保存的文件的名称、网络表、板子信息报告表。

●PCB元件库名称:PCB Footprints.lib

●保存的文件的名称:

●网络表:

[

C1

RAD0.2

0.5u

]

[

C2

RAD0.2

1u

]

[

D1

DIODE0.4 1N2156 ]

[

D2 DIODE0.4 1N2156 ]

[

D3 DIODE0.4 1N2156 ]

[

D4 DIODE0.4 1N1695 ]

[

D5 DIODE0.4 1N1695 ]

[

D6 DIODE0.4 1N1695

]

[

D7 DIODE0.4 1N2156

]

[

JP2

SIP4

4 HEADER ]

[

JP3

SIP4

4 HEADER ]

[

Q1

TO-92B SCR

]

[

Q2

TO-92B SCR

]

[

Q3

TO-92B SCR

]

[

Q4

TO-39 UJT N

]

[

Q5

TO-92A 2N2923 ]

[

Q6

TO-39 UJT N

]

[

R1 AXIAL0.3 25

]

[

R2 AXIAL0.3 25

]

[

R3 AXIAL0.3 25

]

[

R4 AXIAL0.3 100

]

[

R5 AXIAL0.3 3k3/2w

]

[

R6 AXIAL0.3 390

]

[

R7 AXIAL0.3 470

]

[

R8

AXIAL0.3 100

]

[

R9 AXIAL0.3 390

]

[

VR1 AXIAL1.0 500VR

]

[

VR2 AXIAL1.0 10KVR ]

[

VR3 AXIAL1.0 20KVR ]

[

ZD1 DIODE0.7 ZENER2

]

(

GND

C1-2

D7-2

JP2-4

JP3-3

JP3-4

Q1-2

Q2-2

Q3-2

Q5-1

Q6-2

R4-1

R8-1

ZD1-1 )

(

NetD4_2 D4-2

D5-2

D6-2

R5-1

R9-2

)

(

NetJP2_1 D1-2

D4-1

JP2-1

Q1-1

)

(

NetJP2_2 D2-2

D5-1

JP2-2

Q2-1

)

(

NetJP2_3 D3-2

D6-1

JP2-3

Q3-1

)

(

NetJP3_1 D1-1

D2-1

D3-1

D7-1

JP3-1

JP3-2

)

(

NetQ1_3 Q1-3

R1-2

)

(

NetQ2_3 Q2-3

R2-2

)

(

NetQ3_3 Q3-3

R3-2

)

(

NetQ4_1 C1-1

Q4-1

Q5-2

R7-1

)

(

NetQ5_3 C2-2

Q5-3

R8-2

)

(

NetQ6_3 Q6-3

R9-1

)

(

NetR1_1

Q4-2

R1-1

R2-1

R3-1

R4-2

)

(

NetR5_2

R5-2

VR1-2

)

(

NetR6_1

Q4-3

R6-1

)

(

NetVR1_1

R6-2

VR1-1

VR2-2

VR3-2

ZD1-2

)

(

NetVR2_1

C2-1

Q6-1

VR2-1

)

(

NetVR3_1

R7-2

VR3-1

)

板子信息报告表:

Specifications For 实验七.Pcb

On 5-Jun-2020 at 16:37:26

Size Of board 5.01 x 2.03 sq in

Equivalent 14 pin components 2.03 sq in/14 pin component

Components on board 30

Layer Route Pads Tracks Fills Arcs Text

------------------------------------------------------------------------

TopLayer 0 163 0 0 0

BottomLayer 2 107 0 0 0

TopOverlay 0 185 0 2 60

KeepOutLayer 0 5 0 0 0

MultiLayer 70 0 0 0 0

------------------------------------------------------------------------

Total 72 460 0 2 60

Layer Pair Vias

----------------------------------------

Top Layer - Bottom Layer 2

----------------------------------------

Total 2

Non-Plated Hole Size Pads Vias

----------------------------------------

----------------------------------------

Total 0 0

Plated Hole Size Pads Vias

----------------------------------------

0mil (0mm) 2 0

28mil (0.7112mm) 4 2

30mil (0.762mm) 18 0

32mil (0.8128mm) 40 0

40mil (1.016mm) 8 0

----------------------------------------

Total 72 2

Top Layer Annular Ring Size Count

----------------------------------

10mil (0.254mm) 9

18mil (0.4572mm) 8

20mil (0.508mm) 3

22mil (0.5588mm) 2

30mil (0.762mm) 38

34mil (0.8636mm) 4

60mil (1.524mm) 8

----------------------------------

Total 72 Mid Layer Annular Ring Size Count

----------------------------------

10mil (0.254mm) 9

18mil (0.4572mm) 8

20mil (0.508mm) 3

22mil (0.5588mm) 2

30mil (0.762mm) 38

34mil (0.8636mm) 4

60mil (1.524mm) 8

----------------------------------

Total 72 Bottom Layer Annular Ring Size Count ----------------------------------

10mil (0.254mm) 9

18mil (0.4572mm) 8

20mil (0.508mm) 3

22mil (0.5588mm) 2

30mil (0.762mm) 38

34mil (0.8636mm) 4

60mil (1.524mm) 8

----------------------------------

Total 72 Pad Solder Mask Count

----------------------------------

4mil (0.1016mm) 72

----------------------------------

Total 72 Pad Paste Mask Count ----------------------------------

0mil (0mm) 72 ----------------------------------

Total 72 Pad Pwr/Gnd Expansion Count ----------------------------------

20mil (0.508mm) 72 ----------------------------------

Total 72 Pad Relief Conductor Width Count ----------------------------------

10mil (0.254mm) 72 ----------------------------------

Total 72 Pad Relief Air Gap Count

----------------------------------

10mil (0.254mm) 72 ----------------------------------

Total 72 Pad Relief Entries Count

----------------------------------

4 72 ----------------------------------

Total 72 Via Solder Mask Count ----------------------------------

4mil (0.1016mm) 2 ----------------------------------

Total 2

Via Pwr/Gnd Expansion Count ----------------------------------

20mil (0.508mm) 2 ----------------------------------

Total 2 Track Width Count ----------------------------------

8mil (0.2032mm) 30 10mil (0.254mm) 275 12mil (0.3048mm) 145 20mil (0.508mm) 10 ----------------------------------

Total 460 Arc Line Width Count ----------------------------------

10mil (0.254mm) 2 ----------------------------------

Total 2 Arc Radius Count ----------------------------------

160mil (4.064mm) 2 ----------------------------------

Total 2 Arc Degrees Count ----------------------------------

360 2 ----------------------------------

Total 2 Text Height Count ----------------------------------

60mil (1.524mm) 60 ----------------------------------

Total 60

Text Width Count

----------------------------------

10mil (0.254mm) 60

----------------------------------

Total 60

Net Track Width Count

----------------------------------

10mil (0.254mm) 18

----------------------------------

Total 18

Net Via Size Count

----------------------------------

50mil (1.27mm) 18

----------------------------------

Total 18

Routing Information

----------------------------------

Routing completion : 100.00%

Connections : 54

Connections routed : 54

Connections remaining : 0

----------------------------------

3、将打印的图纸粘贴在实验报告本中。

4、实验内容必须保存,每次同实验报告一起上交。

5、写出总结心得。

通过上一个实验再着手这一个,就相对来说没有第一次那么难了。从一个一个元件去封装,再生成到PCB文件里,调格局、排布、布线等技巧都有了一定的提高。学到的知识也在慢慢不断累积。

印制电路板的设计与制作

第七章印制电路板的设计与制作 印制电路板PCB(PrintedCircuitBoard)简称为印制板,是安装电子元器件的载体,在电子设计竞赛中应用广泛。 印制电路板的设计工作主要分为原理图设计和印制电路板设计两部分。在掌握了原理图设计的基本方法后,可以进入印制电路板设计,学习印制电路板的设计方法。 完成印制电路板设计,需要设计者了解电路工作原理,清楚所使用的元器件实物,了解PCB板的基本设计规范,才能设计出适用的电路板。 第一节印制电路板设计的基础知识 1. 印制电路板的类型 一般来说,印制电路板材料是由基板和铜箔两部分组成的。基板可以分无机类基板和有机类基板两类。无机类基板有陶瓷板或瓷釉包覆钢基板,有机类基板采用玻璃纤维布、纤维纸等增强材料浸以酚醛树脂、环氧树脂、聚四氟乙烯等树脂黏合而成。铜箔经高温、高压敷在基板上,铜箔纯度大于99.8%,厚度约在18~105μm。 印制电路是在印制电路板材料上采用印刷法制成的导电电路图形,包括印制线路和印刷元件(采用印刷法在基材上制成的电路元件,如电容器、电感器等)。 根据印制电路的不同,可以将印制电路板分成单面印制板、双面印制板、多层印制板和性印制板。 (1)单面印制板仅在一面上有印制电路,设计较为简单,便于手工制作,适合复杂度和布线密度较低的电路使用,在电子设计竞赛中使用较多。 (2)双层印制板在印制板正反两面都有导电图形,用金属化孔或者金属导线使两面的导电图形连接起来。与单面印制板相比,双面印制板的设计更加复杂,布线密度也更高。在电于设计竞赛中,也可以手工制作。 (3)多层印制板是指由三层或三层以上导电图形构成的印制电路板,导体图形之间由绝缘层隔开,相互绝缘的各导电图形之间通过金属化孔实现导电连接。多层印制电路板可实现在单位面积上更复杂的导电连接,并大大提升了电子元器件装配和布线密度,叠层导电通路缩短了信号的传输距离,减小了元器件的焊接点,有效地降低了故障率,在各导电图形之间可以加入屏蔽层,有效地减小信号的干扰,提高整机的可靠性。多层印制板的制作需要专业厂商。 (4)软性印制板也称为柔性印制板或挠性印制板,是采用软性基材制成的印制电路板。特点是体积小,质量轻,可以折叠、卷缩和弯曲,常用于连接不同平面间的电路或

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

基于Libero的数字逻辑设计仿真和验证实验报告(实验1)

实验报告 1、基本门电路 一、实验目的 1、熟悉EDA工具的使用;仿真基本门电路。掌握基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。基本门电路的程序烧录及验证。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个 ....)的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、所有 ..模块及测试平台代码清单 //74HC00代码-与非门 // module HC00(A,B,Y); input [4:1]A,B;

assign Y=~(A&B); endmodule //74HC00测试平台代码 // `timescale 1ns/1ns module testbench(); reg [4:1]a,b; wire [4:1]y; HC00 u1(a,b,y); initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; end endmodule //74HC02代码-或非门 // module HC02(A,B,Y);

PCB实验报告

课程设计报告 利用Altium Designer设计单片机实验系统PCB板 学院城市轨道交通学院 专业电气工程与自动化 班级10控制工程 学号1042402057 姓名方玮 指导老师刘文杰 完成时间2013-05-21

目录 一、设计目的 (2) 二、设计方案 2.1、设计流程图 (2) 2.2、板层选择 (2) 2.3、元件封装 (3) 2.4、布线方案 (4) 三、原理图的绘制 3.1创建新的PCB工程 (4) 3.2创建新的电气原理图 (5) 3.3添加电路原理图到工程当中 (5) 3.4设置原理图选项 (5) 3.5电路原理图绘制 (6) 3.5.1 加载库和元件 (6) 3.5.2 放置元件 (7) 3.5.3 绘制电路 (9) 3.5.4 注意事项 (11) 3.6编译工程 (14) 四、PCB板的绘制 4.1创建新的PCB文件 (15) 4.2在工程中添加新的PCB (16) 4.3 将原理图的信息导入PCB (17) 4.4 PCB的绘制 (17) 4.4.1元件放置 (17) 4.4.2规则设置 (18) 4.4.3手动布线 (19) 4.4.4规则检查 (21) 五、实验心得体会 (23) 六、附录1 原理图 (24) 七、附录2 PCB图 (25)

利用Altium Designer 设计单片机实验 系统PCB板 一、设计目的 1.培养学生掌握、使用实用电子线路、计算机系统设计、仿真软件的能力。2.提高学生读图、分析线路和正确绘制设计线路、系统的能力。 3.了解原理图设计基础、了解设计环境设置、学习 Altium Designer 软件的功能及使用方法。 4.掌握绘制原理图的各种工具、利用软件绘制原理图。 5.掌握编辑元器件的方法构造原理图元件库。 6.熟练掌握手工绘制电路版的方法。 7.掌握绘制编辑元件封装图的方法,自己构造印制板元件库。 8.了解电路板设计的一般规则、利用软件绘制原理图并自动生成印制板图。 二、设计方案 2.1 设计流程图 2.2板层选择 根据层数分类,印制电路板可分为单面板、双面板和多层板。 (1)单面板 单面印制电路板只有一面有导电铜箔,另一面没有。在使用单面板时,通常在没有导电铜箔的一面安装元件,将元件引脚通过插孔穿到有导山铜箔的一面,导电铜箔将元件引脚连接起来就可以构成电路或电子设备。单面板成本低,但因为只有一面有导电铜箔,不适用于复杂的电子设备。 (2)双面板 双面板包括两层:顶层(Top Layer)和底层(Bottom Layer)。与单面板不同,双面板的两层都有导电铜箔,其结构示意图如图2-1所示。双面板的每层都

电路原理图设计及Hspice实验报告

电子科技大学成都学院 (微电子技术系) 实验报告书 课程名称:电路原理图设计及Hspice 学号: 姓名: 教师: 年06月15日 实验一基本电路图的Hspice仿真 实验时间:同组人员: 一、实验目的 1.学习用Cadence软件画电路图。 2.用Cadence软件导出所需的电路仿真网表。 3.对反相器电路进行仿真,研究该反相器电路的特点。 二、实验仪器设备 Hspice软件、Cadence软件、服务器、电脑 三、实验原理和内容 激励源:直流源、交流小信号源。 瞬态源:正弦、脉冲、指数、分线段性和单频调频源等几种形式。 分析类型:分析类型语句由定义电路分析类型的描述语句和一些控制语句组成,如直流分析(.OP)、交流小信号分析(.AC)、瞬态分析(.TRAN)等分析语句,以及初始状态设置(.IC)、选择项设置(.OPTIONS)等控制语句。这类语句以一个“.”开头,故也称为点语句。其位置可以在标题语句之间的任何地方,习惯上写在电路描述语句之后。 基本原理:(1)当UI=UIL=0V时,UGS1=0,因此V1管截止,而此时|UGS2|> |UTP|,所以V2导通,且导通内阻很低,所以UO=UOH≈UDD,即输出电平. (2)当UI=UIH=UDD时,UGS1=UDD>UTN,V1导通,而UGS2=0<|UTP|,因此V2截止。此时UO=UOL≈0,即输出为低电平。可见,CMOS反相器实现了逻辑非的功能. 四、实验步骤

1.打开Cadence软件,画出CMOS反相器电路图,导出反相器的HSPICE网表文件。 2.修改网表,仿真出图。 3.修改网表,做电路的瞬态仿真,观察输出变化,观察波形,并做说明。 4.对5个首尾连接的反相器组成的振荡器进行波形仿真。 5.分析仿真结果,得出结论。 五、实验数据 输入输出仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos *.tran 200p 20n .dc vin 0 5 1m sweep data=w .print v(1) v(2) .param wp=10u wn=10u .data w wp wn 10u 10u 20u 10u 40u 10u 40u 5u .enddata vcc vcc 0 5 vin in 0 2.5 *pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=wp m2 out in 0 0 nch l=1u w=wn .alter vcc vcc 0 3 .end 图像: 瞬态仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos .tran 200p 20n .print tran v(1) v(2) vcc vcc 0 5 vin in 0 2.5 pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=20u

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

实习报告-印制电路板的制作与检测-3000字

我来到大连XXX有限电子公司进行为期10周的实习培训。这里充满了和谐与朝气,充满了团结与智慧。本公司大连XX电子有限公司(简称:大连XX)主要从事二极管、MOSFET、肖特基等电子元器件的专业生产,以及PCB板的制作。公司总部设在辽宁大连庄河市,大连XX电子有限公司的诚信、实力和产品质量获得业界的认可。我的实习岗位是熟练运用protel制图软件并制成PCB板,并检验出制成的板质量是否合格。也就是进行PCB板的制作与维护。 1. 印制电路板的制作 实习过程中,我首先进行印制电路板的制作,具体步骤如下: 第一步,使用Protel设计PCB板。 首先,新建原理图库文件并设计:先要点击【Document】选择【schematic library】,在原来的库里找到类似的进行编辑修改,这样比较省时省力一些。找到相似的元件后我要注意,要把粘贴到【schematic library】里面进行的引脚等其他部分进行编辑和修改。设计完成后保存,回到【schematic document】中,找到自己做好的元件双击添加。 其次,新建原理图文件并设计:打开Protel 软件点击【New document】选择【schematic Document】,新建一个原理图纸,设置原理图图纸大小为“A4”。然后回到建好的原理图图纸页面,在任意位置,双击页面对照图纸来选择相应的符号,在原理图页面对照图纸画好原理图,双击的标示改好。在画原理图的时候特别要注意,导线的节点不能忘记标注,要修改属性,检查电气规则等。原理图中的集成电路,有些在库中找不到,需要自己画好添加到库中然后调用到原理图上。 然后,新建PCB文件并设计。在【New document】选择【PCB document】,将工作层面调至Keep Out Layer,并画出电路板电气边界。生成网络表后,打开网络表点击以NET 结尾的文件进行检查,检查错误,直到修改无误把焊盘修改为合适大小。之后导出并在电路板电气范围内排布,元件比较多排布元件比较麻烦,所以要与足够的耐心摆放元件以便最后出的图比较规整。手工布线清晰明了布线完成时要仔细检查。虽然经过一段很复杂的过程但当最后看见自己的成果时真的存在一种喜悦。然后设置点击【design

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

印刷电路板实训报告

2011~2012年第一学期印刷电路板实训报告专业:汽车电子 班级:0741001班 姓名:桂冰强 学号:2010**** 指导老师:王** 时间:2011-12-26

一、实训目的 1、通过实训熟悉原理图的绘制流程。 2、通过实训认识基本元器件的序号、封装形式。 3、通过实习制作原理图生成电路板。 4、通过实习学会自动布线,制作电路原理图元件和元件封装。 二、实训内容 本次实验作为印刷板实习,主要是利用PROTEL99E软件,而这次我们用到的有文件的建立,元件库制作,原理图绘制,PCB图绘制,封装库制作。 1:元件库制作。在Documents新建一个Schematic Library Document文件生成一个**.lib文件双击打开就可以自己制作元件了,制作方法有两种,方法1 在通用库中添加。 2 在项目元件中添加,启动元件编辑器或打开已有元件,添加新元件元件的调整,移动:单个元件的移动:以光标指向所要移动的元件,按下左键不放,直接拖到目的后,放开鼠标左键。旋转:出现十字光标后,左建不放,按下Space键:可以将元件依次做90度旋转,X键:使元件左右对调,Y键:使元件上下对调。元件的编辑:双击该元件。元件的删除:点击所要删除的元件,选Edit/Clean命令。绘制新元件【外型文字引脚】修改元件描述和封装,保存即可 2:原理图绘制。首先打开PRTOEL99E软件,新建一个名位B0811 39.ddb 文件,会生成Design Team Recycle Bin Documents三个子文件第一个个文件源,第二个是回收站文件,第三个是个人文件夹,再打开个人文件夹,新建Schematic Document 这个文件生成一个后缀名为SCH文件,打开这个文件会

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(完整word版)PCB实验报告

《电子线路印刷版(PCB)设计CAD》 实践报告 题目:单片机最小系统PCB设计 姓名: 学号: 系别:信息工程系 专业:通信工程 年级:09 级 2013年1月9日 一、设计的任务与要求 学习掌握一种电路设计与制板软件(课堂主要使用Protel 99SE,或其他软

件Altium Designer 、PADS、OrCAD、Proteus 等),掌握软件使用的基本技巧的基础,结合专业相关电路方面知识来设计PCB板。根据参考系统设计一个小型的单片机系统,以89C51 为核心单片机,具备如下主要功能模块:电源模块、ISP(In-System Programming)下载模块,时钟和复位模块、AD 采集模块、键盘模块、数码管和LED显示模块等,画出SCH原理图和对应的PCB 印刷电路板。 主要设计内容: 1、根据需要绘制或创建自己的元件符号,并在原理图中使用; 2、SCH原理图设计步骤与编辑技巧总结; 3、绘制或创建和元件封装,并在原理图中调用; 4、生成项目的BOM(Bill of Material); 5、设置PCB 设计规则(安全距离、线宽、焊盘过孔等等),以及PCB 设 计步骤和布局布线思路和技巧总结; 6、最终完整的SCH电路原理图; 7、元器件布局图; 8、最终完整的PCB 版图。 二、实验仪器 PC机,Protel 99SE软件 三、原理图元件库设计 3.1 6段数码管模块 LED数码管(LED Segment Displays)是由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。LED数码管有八个小LED发光二极管,常用段数一般为7段有的另加一个小数点,通过控制不同的LED的亮灭来显示出不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

protel实验报告

实验报告 一、实验目的 1.了解protel软件基本功能及实际操作方法; 2.掌握电路原理图设计和PCB图绘制基础和技能操作; 3.掌握PCB布线和布局的技巧以及注意问题; 4.原理图元件符号和PCB元件封装编辑技能; 5.培养实际电路图绘制和动手操作综合能力; 6.自己能够绘制电路原理图并可以对PCB进行合理布局 二、实验内容 1.protel 99 SE简介 Protel 99 SE软件是PROTEL99SE汉化版,99SE是PROTEL 家族中目前最稳定的版本,功能强大。采用了*.DDB数据库格式保存文件,所有同一工程相关的SCH、PCB等文件都可以在同一*.DDB数据库中并存,非常科学,利于集体开发和文件的有效管理。还有一个优点就是自动布线引擎很强大。在双面板的前提下,可以在很短的时间内自动布通任何的超复杂线路! 主要教我们: 1.画画简单的原理图(SCH) 2.学会创建SCH零件

3.把原理图转换成电路板(PCB) 4.对PCB进行自动布线 5.学会创建PCB零件库 6.学会一些常用的PCB高级技巧。 主要的模块: 1.电路原理图设计模块:该模块主要包括设计原理图的原理图编辑器,用于修改、生成元件符号的元件库编辑器以及各种报表的生成器。 2.印制电路板设计模块:该模块主要包括设计电路板图的PCB编辑器,用于PCB自动布线的Route模块。用于修改、生成元件封装的原件封装编辑器以及各种报表的生成器。 3.可编程逻辑器件设计模块:该模块主要包括具有语法意识的文本编辑器、由于编译和仿真设计结果的PLD模块。 4.电路仿真模块:该模块主要包括一个具有强大的数/模混合信号电路仿真器,能提供连续的模拟信号和离散的数字信号仿真。 2.电路图设计基础和操作步骤 2.1印制电路板设计的流程方框图: 电路原理图设计产生网络表印制电路板设计;

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

数字逻辑实验报告-Verilog时序逻辑设计

电子科技大学 实验报告 学生姓名:任彦璟学号:2015040101018 指导教师:吉家成米源王华 一、实验项目名称:Verilog时序逻辑设计 二、实验目的: 掌握边沿D触发器74x74、同步计数器74x163、4位通用移位寄存器74x194,的工作原理。 设计移位寄存器74x194设计3位最大序列长度线性反馈移位寄存器(LFSR:Linear Feedback Shift Register)计数器。 设计同步计数器74x163 。 三、实验内容: 1.设计边沿D触发器74x74。 2.设计通用移位寄存器74x194。 3.采用1片74x194和其它小规模逻辑门设计3位LFSR计数器。 4.设计4位同步计数器74x163。 四、实验原理: 74x74逻辑电路图

CLK_D CLR_L_D S1_L S1_H S0_L S0_H w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 74x194逻辑电路图 3位LFSR逻辑电路图

74x163逻辑电路图 上图的设计可以采用门级描述,也可以采用教材《数字设计—原理与实践》(第4版)第525页的表8-20中的行为描述 五、实验器材(设备、元器件): PC 机、Windows XP 、Anvyl 或Nexys3开发板、Xilinx ISE 14.7开发工具、 Digilent Adept 下载工具。 六、实验步骤: 实验步骤包括:建立新工程,设计代码与输入,设计测试文件,设置仿真,查看波形,约束与实现、生成流代码与下载调试。 七、关键源代 码及波形图: 1.D 触发器的Verilog 代码 源码如下 module vr74x74(CLK, D, PR_L, CLR_L, Q, QN); input CLK, D, PR_L, CLR_L ; output Q, QN ; wire w1, w2, w3, w4 ; nand (w1, PR_L, w2, w4); nand (w2, CLR_L, w1, CLK) ; nand (w3, w2, CLK, w4) ; nand (w4, CLR_L, w3, D) ; nand (Q, PR_L, w2, QN); nand (QN, Q, w3, CLR_L); endmodule

相关主题
文本预览
相关文档 最新文档