当前位置:文档之家› 8位移位寄存器的电路设计与版图实现要点

8位移位寄存器的电路设计与版图实现要点

8位移位寄存器的电路设计与版图实现要点
8位移位寄存器的电路设计与版图实现要点

8位移位寄存器的电路设计与版图实现

摘要

电子设计自动化,缩写为EDA,主要是以计算机为主要工具,而Tanner EDA则是一种在计算机windows平台上完成集成电路设计的一种软件,基本包括S-Edit,T-Spice,W-Edit,L-Edit与LVS等子软件,其S-Edit以及L-Edit为常用软件,前者主要实现电路设计,后者主要针对的是已知电路的版图绘制,而T-Spice主要可实现电路图及版图的仿真,可以用Tanner EDA实现电路的设计布局以及版图实现等一系列完整过程。本文用Tanner EDA工具主要设计的是8位移位寄存器,移位寄存器主要是用来实现数据的并行和串行之间的转换以及对数据进行运算或专业处理的工具,主要结构构成是触发器,触发器是具有储存功能的,可以用来储存多进制代码,一般N 位寄存器就是由N个触发器构成,移位寄存器工作原理主要是数据在其脉冲的作用下实现左移或者右移的效果,输入输出的方式表现为串行及并行自由组合,本设计就是在Tanner EDA的软件平台上进行对8位移位寄存器的电路设计仿真,再根据电路图在专门的L-Edit 平台上完成此电路的版图实现,直至完成的结果和预期结果保持一致。

关键词:Tanner EDA;L-Edit;移位寄存器,S-Edit

8 bits shift register circuit design and layout

Abstract

Electronic design automation,referred to as EDA,it is based on computers as the main tool,and Tanner EDA is a kind of software that complete the integrated circuit design on Windows platforms.Its Sub-Softwares include S-Edit,T-Spice,W-Edit,L-Edit and LVS and so on.S-Edit and L-Edit are commonly used software,S-Edit is primarily designed to achieve circuit,the latter is aimed primarily known circuit layout drawing,T-Spice can achieve schematic and layout simulation.We can achieve layout of the circuit design and a series of complete process layout used Tanner EDA tools.In this paper, Tanner EDA tools are mainly designed an 8-bit shift register.The shift register is mainly used for data conversion between parallel and serial, and the data processing tool operation or professional,its main structure is the trigger composition,flip-flop is a storage function,it can be used to store more hexadecimal code,In general N-bits register is composed of N trigger.Working principle of the shift register data under the action of the pulse, mainly the effect of the shift to the left or right,input and output of the way of serial and parallel free combination.This design is in Tanner on the EDA software platform to 8 bits shift register circuit design and simulation,then according to the circuit diagram on special L - Edit platform to complete the circuit layout implementation,until the finish is consistent with the results and expected results.

Keywords:Tanner EDA;L-Edit;Shift register,S-Edit

目录

1 前言 (1)

1.1 课题的背景和目的 (1)

1.2课题的设计内容 (1)

2 设计软件简介 (2)

2.1EDA技术的介绍 (2)

2.2T ANNER EDA T OOLS的简述 (2)

2.3T ANNER软件的组成及发展 (3)

2.3.1 Tanner的设计流程 (4)

2.3.2 Tanner软件的发展 (5)

2.3.3 L-Edit软件的介绍 (6)

2.48位移位寄存器的工作原理和设计要求 (9)

2.4.1 工作原理 (9)

2.4.2 电路结构与设计 (11)

3 8位移位寄存器的电路设计与版图实现过程 (13)

3.1各个模块的设计与仿真 (13)

3.1.1 带复位端D触发器的设计与版图实现 (13)

3.1.2 与或非门的设计与版图实现 (16)

3.28位移位寄存器的电路设计与版图实现 (18)

3.2.1 8位移位寄存器的电路结构 (18)

3.2.2 8位移位寄存器的版图实现 (19)

3.2.3 LVS对比 (21)

4 结束语 (21)

参考文献 (22)

巢湖学院2013届本科毕业论文(设计)

1 前言

1.1 课题的背景和目的

随着科技的进步,近几个世纪寄存器技术不断成熟,在数字电路中,寄存器已经是一个经常被提出的概念,它主要指的是用来存放二进制数据或者代码的电路。由于工作原理以及功能的不同,寄存器又被人们划分成为基本寄存器和移位寄存器。前者最主要的辨识方式就是它只能采用并行的方式来进行送入数据的过程,而我们常说的移位寄存器则主要作用则是集中在让其所储存的N 位制代码在它产生的移动脉冲的作用下产生依次位移上面。移位寄存器一般都是用二进制的形式来保存数据。掌握基本的电路设计知识是电科专业学生最应该具备的基础,利用所学Tanner EDA软件技术知识解决各种电路设计以及版图设计更是将所学和实践融会贯通[1]。

目前,基于Tanner EDA技术的电路设计已经在该领域中取得了较为突破的发展,主要是借助了L-Edit等软件的强大功能以及传统的数学及电路知识,在软件平台上成功实现8位移位寄存器的电路设计以及版图实现。

1.2 课题的设计内容

1.根据8位移位寄存器的工作原理分析其电路结构,初步完成电路设计。

2,在Tanner EDA中的S-Edit软件平台上调用各种电路元件符号绘制出其电路图,并对绘制出来的电路图进行仿真得出输入及输出波形。

3.在L-edit平台上进行寄存器的版图设计并对绘制出的版图进行仿真。

4,对仿真出的输入及输出波形图进行分析,观察是否与预期结果相同,完成课题设计。

8位移位寄存器的电路设计与版图实现

2 设计软件简介

2.1 EDA技术的介绍

现代电子设计技术的核心是EDA(Electronic Design Automation)技术[2]。EDA技术是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(hardware description language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能[3]。EDA技术使得设计者的工作仅限于利用软件方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现[2]。

EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计技术、ASIC测试和封装技术、FPGA/CPLD编程下载技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。因此EDA技术为现代电子理论和设计的表达与实现提供了可能性[3]。在现代技术的所有领域中,得以飞速发展的科学技术多为计算机辅助设计,而非自动化设计。不难解释,EDA

技术已不是某一学科的分支,或某种新的技能技术,它应是一门综合性学科。它融合多学科于一体,又渗透于各学科之中,打破了软硬件之间的堡垒,是计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向[4]。

2.2 Tanner EDA Tools的简述

Tanner Research 公司在windows平台的基础之中开发了一种主要被用在集成电路设计过程中的工具软件,这款软件便是Tanner集成电路设计软件,这种Tanner集成电路设计软件的功能非常明显并且得到了集成电路学界的很快认可和利用,容易掌握也容易使用,它主要包括了常用的电路绘制软件S-Edit

巢湖学院2013届本科毕业论文(设计)

以及版图绘制软件L-Edit软件,另外还包括T-Spice,W-Edit,与LVS等设计平台,这些平台基本上可以囊括了从电路的初步设计一直到分析改善模拟结果以及电路的布局等电路设计全过程,它所包括的L-edit是一款非常热门且专业的电路版图编辑器,无论是国内还是国外都得到非常广泛的应用,知名度非常高[5]。

由Tanner EDA软件公司开发的一种可以进行IC设计以及对其进行验证的软件系统模块便是L-Edit Pro,效率以及性能等方面都具有超高的优越性,并且最显著的特点是交互式,从IC初步设计一直到最终输出以及最后的加工都被包含在这种软件模块强大且完整的功能之中,其优异的性能已经可以和国外盛名的百万美元级别的IC设计软件相提并论。L-Edit Pro主要包含的模块有:IC设计编辑器、自动布线系统、DRC规则检查器、组件提取器以及设计布局与电路netlist的比较器等,这些专业性以及针对性能较强的模块给一个完整的IC世纪以及验证都提供了极大的便利,使其解决变得容易许多,而且由于L-Edit Pro 的功能的完善性,IC设计者以及生产商家们得到了更为快速简便且不影响其精确性的设计系统[5]。

2.3 Tanner软件的组成及发展

Tanner EDA软件平台主要组成部分有S-edit, T-spice, L-edit, W-edit 和LVS,这些部分都涉及在一个完整的电路设计以及版图实现的过程中,其中各个部分的功能不尽相同,分工极为明确,S-edit的主要功能就是编辑初步设计好的电路图,主要方法是提取利用软件中所包含的电路元件符号来绘制符合设计的电路图,T-spice主要是对电路进行分析以及模拟,以便电路设计的过程更加严谨和正确,W-edit主要是用来显示出在T-Spice软件中电路模拟出来的最终结果,方便和预期进行比较,方便改正和显示不足和缺陷,L-edit 的主要作用是对电路的版图布局图进行编辑并且进行自动的配置和绕线,此外,L-Edit还可以进行对编辑好的版图进行观察以及电路转换的过程,LVS则主要是用来比较电路图和布局图的[6]。

8位移位寄存器的电路设计与版图实现

2.3.1 Tanner的设计流程

用Tanner EDA tools来对电路进行设计的一般流程遵循以下步骤:首先把你要设计的电路在S-Edit上面编辑出来符合设计的电路图,然后可以输出Spice文件,spice文件主要是由T-Spice进行电路图的模拟输出,如果模拟结果是正确的便可以在L-Edit上进行电路布局图的设计了,但是如果模拟出来的结果是有错误存在的,那就必须要回到S-Edit上对电路图进行检查。在L-Edit 上绘制版图的时候必须要用到DRC规则检查的功能以确保绘制的版图不存在任何违反设计规则的错误,如果DRC规则检查出现错误可以根据检查提示对布局图进行修改直至无误,将无误的布局图转换成为Spice文件,运用T-Spice的共恩呢该进行模拟,确保布局图无误。这之后可以利用Tanner EDA另一种软件-LVS将又电路图输出得到的Spice文件和版图转换而成的Spice文件进行最后的对比,如果对比发现结果是相吻合的,那么设计好的版图就要输出成为GDSII 文件类型,如果对比结果不相吻合,那么就要回到L-Edit或者S-Edit的界面对电路图或者布局版图进行修改,直到最后验证没有错误。其主要流程图如下图所示:

图1

巢湖学院2013届本科毕业论文(设计)

上述流程图中的Spice文件是Simulation Program with integrated circuit Emphasis的简称,Spice是一种在电路设计过程中经常用到的一种分析程序,主要作用是对电路的各种特性进行专业性的分析甚至是模拟,追溯其发展已有三十多年的历史了,它是由美国加州大学分校首先提出的概念程序,这种程序可以代替一系列电子实验室的功能进而来设计一些比较复杂的电路和系统,这种功能主要是由Spice语言的元器件模型的精度高决定的。

2.3.2 Tanner软件的发展

利用计算集成电路自动设计工具软件 L-EDIT 实现移相掩模图形布局设计及交互式图形编辑。Tanner Research,Inc.开发的一种很优秀的集成电路设计工具软件,最大的特点是可用于任何个人计算机(PC机)、它不仅具有强大的集成电路设计、模拟验证、版图编辑和自动布局布线等功能,而且图形处理速度快、编辑功能强、通俗易学、使用方便,很实用于任何个人进行集成电路设计或其它微细图形加工的版图设计工作。早期Tanner EDA Tools是一种可以运行于PC-DOS或MS-DOS操作系统的IBM PC及其兼容机的交互式集成电路版图设计工具软件包,通过十多年的扩充、改进,几乎每年都有一种新的修改版,到目前已经推出到1988-2002 Tanner EDA 版本,其强大的EDA功能不比SUN 工作站上运行的Cadence设计软件逊色,可以用来完成任何复杂度的IC设计,但它却能够运行于任何微机上的Windows操作系统平台上,为设计软件的普及、推广、应用创造了非常有利的条件[6]。

整个设计工具大体上可以归纳为两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。前者包括电路图编辑器S-Edit、电路模拟器T-Spice和高级模型软件、波形编辑器W-Edit、Net Tran网表转换器、门电路模拟器GateSim以及工艺映射库、符合库SchemLib、Spice元件库等软件包,构成一个完整的集成电路设计、模拟、验证体系,每个模块互相关联又相对独立,其中S-Edit可以把设计的电路图转换成SPICE,VHDL,EDIF和TPR等网表文件输出,提供模拟或自动布图布线。后者则是包括集成电路版图编辑器L-Edit和用于版图检查的网表比较器LVS等模块,L-Edit本身又嵌入设计规则检查DRC、提供用户二次开发用

8位移位寄存器的电路设计与版图实现

的编辑界面UPI、标准版图单元库及自动布图布线SPR、器件剖面观察器Cross Section Viewer、版图的SPICE网表和版图参数提取器Extract(LPE)等等,网表比较器LVS则用于把由L-Edit生成的版图反向提取的SPC网表和由S-Edit 设计的逻辑电路图输出的SPC网表进行比较实现版图检查、对照分析。L-Edit 除了拥有自己的中间图形数据格式(TDB格式)外,还提供了两种最常用的集成电路版图数据传递格式(CIF格式和GDSII格式)的输入、输出功能,可以非常方便地在不同的集成电路设计软件之间交换图形数据文件或把图形数据

文件传递给光掩模制造系统。还要特别提到的是在国内已具有很高成电路版图知名度的集编辑器L-Edit(Layout Editor)[7]。

L-Edit是整个Tanner EDA Tools 的基础,目前Tanner集成电路设计工具软件就是在1988年开发的最早版本交互式图形编辑软件L-Edit的基础上逐渐发展、完善起来的。首先是经过以V2.00到V4.00系列为代表的纯 DOS版本软件,后来开发了以V5.00为代表的 DOS版本,可在Windows下调用,进而又开发了以V6.00为代表的WIN3X版本,随着微软的各种Windows版本的相继出现,Tanner Research也相继推出了V7.00和V8.00系列产品,其中有代表性的是1998年推出的V7.50 、2000年推出的V8.30、2002年推出的V8.50及2003年又推出9.0和10.0几种版本。L-Edit V7.50是一个很典型的版本,具有非常友好的Windows界面和方便的绘图能力,增加了以往版本不具有的任意扇区和圆环绘制功能和参考标尺生成器,具有直接调用 SPR和DRC及TXT的按钮,而且还提供了为图形编辑器进行二次开发的用户编辑界面UPI,用户可以自行开发更加复杂的图形编辑功能,极大地扩展了L-Edit的能力和灵活性。L-Edit V8.30 版本又突破以往只有256色的限制,实现了真彩色表现的能力,上下层图形可以实现透明显示,并且进一步完善了多层布线功能。

2.3.3 L-Edit软件的介绍

L-Edit是Tanner Tools Pro工具软件中的一个软件包

中进行版图设计、设计规则检查、网表提取、标准单元自动布局与连线等工作。配合在S-Edit中建立的相应电路Tanner Tools Pro提供的另一个工具LVS完成布局与电路的比对。L-Edit的窗口包括标题栏、工具栏、位臵显示

巢湖学院2013届本科毕业论文(设计)

区、鼠标功能说明、状态栏、绘图区等项目。还有层的定义区用以定义现在要进行绘制和编辑的层。在进行版图设计之前,

调色板、应用、设计、图层、特殊图层、设计规则检查、标准单元库自动布局与连线[8]。

“Paste To Cursor”选项表示将剪贴板上的图形粘贴到鼠标指针上,选中该选项后在粘贴对象时,被复制的对象会跟随光标指针出现在绘图区并随光标一起移动,点击鼠标的任何键时被复制的对象固定到绘图区。在粘贴到绘图区之前还可以对该对象进行水平、垂直、镜像与旋转操作,“Auto-Panning”选项用于自动平移窗口,选中该选项后,在执行Draw绘图、Move移动或Edit编辑

L-Edit将自动平移窗口。下图为应用设置对话框:

图2

8位移位寄存器的电路设计与版图实现

建立新的单元,执行Cell/ New子命令弹出新建单元对话框,如图所示。依对话框要求输入新单元的名称、作者、机构名称与单元的相关信息。选中“Open in new window”选项表示新单元将在新窗口中打开,否则新单元在当前窗口打

窗口中已打开的窗口被关闭。然后点击OK按钮新单元被创建。

图3

用L-Edit进行版图设计的基本任务是绘制对象,绘图对象包括几何图形、例化体、端口和标尺等。绘制的基本步骤是:选择图层、激活绘图工具、进行绘制。L-Edit提供的几何图形绘图工具包括长方形、多边形、线、圆、扇形和环扇等。

L-Edit绘制版图时连线的宽度、端点和顶点外形由当前图层的默认连线样式决定。在图层设臵对话框的General标签页中设定。下图为端口设置对话框:

巢湖学院2013届本科毕业论文(设计)

图4

用L-edit进行版图设计的概念:版图设计是创建器件或者系统的工程制图的物理描述过程,而这一物理描述遵守有制造工艺、设计流程以及通过仿真显示为可行的性能要求所带来的一系列约束。

2.4 8位移位寄存器的工作原理和设计要求

2.4.1 工作原理

移位寄存器的作用不仅局限于能够寄存数码,而且它还具有移位的功能。移位功能是所学的数字系统和计算机技术之中的一个非常基本且重要的功能,比如我们计算二进制数据乘以2的时候就可以利用这个功能将这个二进制数据左移一位来实现,而一般二进制数据除以2的运算则可以通过右移的功能来实现[9]。

用一个多位双向移位寄存器为例,它主要是由N个与或非门来构成的N个二选一的数据选择器,将左移和右移移位寄存器组合在一起就构成了多位双向

8位移位寄存器的电路设计与版图实现

移位寄存器了,当移位方向的控制信号为1的时候,右移输入的与门就会自动打开,左边的触发器经过与或非门的作用就会发生反向,然后再加到相邻的触发器的输入端,这样发生依次右移,反之当控制信号为0的时候,就会发生左移的效果,这便是双向移位寄存器的工作过程。

移位寄存器是一般都是基本的同步时序电路,一个基本的移位寄存器可以将数据的串并行自由转换,并且可以进行数值的基本运算以及一些基本数据的处理的功能,在8位移位寄存器的设计过程中,我们规定寄存器之中的数据从低位触发器向高位触发器移动的过程为右移过程,反之则称为左移。现在的很多设计过程中,一般为了将设计的逻辑功能进一步扩展并且能够更加灵活地使用,很多双向移位寄存器的集成电路产品都会在原本的基础上附加并行输入以及并行输出等功能,下图所示是以上所说的几种工作模式的简化示意图。

图5

下图是一种可以实现数据的保持以及左右移动,数据输入输出并行的效果的基本电路实现方案,mFF是一个D触发器,是N位移位寄存器中的一个主要构成部分,在这个D触发器的输入端插入四选一的数据选择器的话,再用一个两位的编码器输入10ss,以此来控制这个数据选择器,然后来选择D触发器的信号来源,当编码输入为100ss的时候,只要选择D触发器原本就会输出的mq,这个时候的次态势1mnn mm QDQ,并且使触发器的状态保持不变,当编码输入100,1SS的时候,D触发器的输出就会被选中,所以当脉冲上升沿到达的时候,D触发器就会将原先触发器的逻辑值存起来,这个时候实现的就是多位移位寄存器的右移功能,类似的情况,当编码输入101,0SS的时候,mMUX选择1mQ,多位移位寄存器实现的就是左移功能;而当输入101SS的时候,被选中的则是并行输入数据mDI,这个数据的次态是1nmmQDI,因此完成的是多位移位寄存器

巢湖学院2013届本科毕业论文(设计)

的并行数据的置入功能。

图6

2.4.2 电路结构与设计

下图是一个8位双向移位寄存器,它是由8个4选1数据选择器以及8个具有异步清零作用的D触发器组成。这些数据选择器的每个编码端10SS都对应地连接在一起,然后实现选择触发器信号数据的来处的作用。D触发器的终端连接在一起,清零端连接在一起,这样就可以保证D触发器在级联的情况下保持同步,同时能够对并行输出的数据进行清零。此外,D触发器最显著的特点就是每个输出对应一个并行输入。是右移串行数据输入端称为Dsr,是左移串行数据输入端成为Dsl,分别对应接在D触发器最低有效位对应的数据选择器和最高有效位对应的数据选择器。

8位移位寄存器的电路设计与版图实现

图7

分析电路图,可得此8位双向移位寄存器的真值表:

巢湖学院2013届本科毕业论文(设计)

图8

3 8位移位寄存器的电路设计与版图实现过程

3.1 各个模块的设计与仿真

3.1.1 带复位端D触发器的设计与版图实现

构成时序逻辑电路必须要具备的逻辑器件就是触发器,0状态和1状态是触发器的两个稳定状态;两个状态可以在外界存在信号的作用下相互转换,如果外界没有任何信号存在,状态是保持不变的。所以,一般可以用触发器当作二进制存储单元使用。触发器的分类主要根据功能的不同而不同,常见的有RS触发器、JK触发器、D触发器等。一个触发器通常可以储存一位数据,如果想要多位数据的话,可以将多个触发器连接在一起,可以用来表示时序器的状态、计数器的值、电脑记忆体中的ASCII码或其他资料[10]。

D触发器的工作原理:作为一种用时钟来控制的记忆性器件,它具有控制输入讯号的功能,简称CLOCK,这种讯号只有在特定的时刻才可以根据输入的讯号情况来改变输出状态。

移位寄存器的主要结构是D触发器,所以首先要明确D触发器的电路图然后在Tanner EDA软件平台上对其进行绘制以及仿真。下图为带复位端D触发器的电路原理图:

8位移位寄存器的电路设计与版图实现

图9

在L-Edit平台上绘制出带复位端D触发器的版图如下图所示:

图10

将D触发器转换成Spice文件,如下图:

巢湖学院2013届本科毕业论文(设计)

图11

T-Spice模拟:

图12

对版图进行仿真得出如下图所示的输入输出波形:

8位移位寄存器的电路设计与版图实现

图13

3.1.2 与或非门的设计与版图实现

一般的移位寄存器电路结构还有一个不可忽视的组成部分,那就是与或非门,将两个与门以及一个或门一个非门组合起来便是与或非门,逻辑符号是

与或非门的真值表如下图所示:

图14

版图设计论文15篇

版图设计论文15篇 版图设计论文 摘要:集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。 关键词 版图设计设计论文设计 版图设计论文:一种基于厚膜工艺的电路版图设计 摘要:在电子线路版图设计中,通常采用印刷线路板技术。如果结合厚膜工艺技术,可以实现元器件数目繁多,电路连接复杂,且安装空间狭小的电路版图设计。通过对3种不同电路版图设计方案的理论分析,确定了惟一能满足要求的设计方案。基于外形尺寸的要求,综合考虑电路的性能和元件的封装形式,通过合理的电路分割和布局设计,验证了设计方案的合理性和可实现性。体现了厚膜工艺技术在电路版图设计中强大的优越性,使一个按常规的方法无法实现的电路版图设计问题迎刃而解。 关键词:电路版图设计;电路分割设计;厚膜混合集成电路;厚膜工艺 0 引言 随着电子技术的飞速发展,对电子设备、系统的组装密度的要求越来越高,对电路功能的集成度、可靠性等都提出了更高的要求。电子产品不断地小型化、轻量化、多功能化。除了集成电路芯片的集成度越来越高外,电路结构合理的版图设计在体积小型化方面也起着举足轻重的作用。

1 厚膜工艺技术简述 厚膜工艺技术是将导电带和电阻通过丝网漏印、烧结到陶瓷基板上的一种工艺技术[1]。 厚膜混合集成电路是在厚膜工艺技术的基础上,将电阻通过激光精调后,再将贴片元器件或裸芯片装配到陶瓷基板上的混合集成电路[2]。 厚膜混合集成电路基本工艺流程图见图1。 图1 厚膜工艺流程图 厚膜工艺与印制板工艺比较见表1。 2 电路版图设计 2.1 设计要求 将电路原理图(图2,图3)平面化设计在直径为34 mm的PCB板上(对电路进行分析后无需考虑相互干扰),外形尺寸图见图4。其中:序列号及电源为需要引出的引脚。 表1 厚膜工艺与印制板工艺比较 图2 原理图(1)

32位移位寄存器

实验四 一、实验名称 32位并进/并出移位寄存器设计 二、实验原理 用一个8位移位寄存器,再增加一些电路,如4个8位锁存器等,设计成为一个能为32位二进制数进行不同方式移位的移位寄存器。 三、实验步骤 1、建立一个工程项目,设置路径,项目名和顶层实体名一致; 2、设计一个8位移位寄存器电路; 3、设计一个8位锁存器电路; 4、运用元件调用声明语句和元件例化语句完成顶层设计。 四、实验程序 1、八位移位寄存器程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jicun IS PORT ( CLK,CO: IN STD_LOGIC; --时钟和进位输入 MD : IN STD_LOGIC_VECTOR(2 DOWNTO 0); --移位模式控制字 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- 待加载移位的数据 QB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --移位数据输出 CN : OUT STD_LOGIC); --- 进位输出 END jicun; ARCHITECTURE behav OF jicun IS SIGNAL REG : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CY : STD_LOGIC; BEGIN

PROCESS(CLK,CO,MD) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE MD IS WHEN "001"=> REG(0)<=CO; ---带进位循环左移 REG(7 DOWNTO 1)<= REG(6 DOWNTO 0);CY<=REG(7); WHEN "010" => REG(0)<=REG(7); ---自循环左移 REG(7 DOWNTO 1)<= REG(6 DOWNTO 0); WHEN "011"=>REG(7)<=REG(0); ---自循环右移 REG(6 DOWNTO 0)<=REG(7 DOWNTO 1); WHEN "100" =>REG(7)<=CO; ---带进位循环右移 REG(6 DOWNTO 0)<=REG(7 DOWNTO 1);CY<=REG(0); WHEN "101" =>REG(7 DOWNTO 0)<=D(7 DOWNTO 0); --加载待移数 WHEN OTHERS=>REG<=REG;CY<=CY;--保持 END CASE; END IF; END PROCESS; QB(7 DOWNTO 0)<=REG(7 DOWNTO 0);CN<=CY; END behav; 2、锁存器程序 library ieee ; use ieee.std_logic_1164.all ; entity suocun is port(d : in std_logic_vector(7 downto 0) ; q: out std_logic_vector(7 downto 0); clk : in std_logic ); end suocun ; architecture one of suocun is signal q1: std_logic_vector(7 downto 0);

8位移位寄存器的电路设计与版图实现

8位移位寄存器的电路设计与版图实现 摘要 电子设计自动化,缩写为EDA,主要是以计算机为主要工具,而Tanner EDA则是一种在计算机windows平台上完成集成电路设计的一种软件,基本包括S-Edit,T-Spice,W-Edit,L-Edit与LVS等子软件,其S-Edit以及L-Edit为常用软件,前者主要实现电路设计,后者主要针对的是已知电路的版图绘制,而T-Spice主要可实现电路图及版图的仿真,可以用Tanner EDA实现电路的设计布局以及版图实现等一系列完整过程。本文用Tanner EDA工具主要设计的是8位移位寄存器,移位寄存器主要是用来实现数据的并行和串行之间的转换以及对数据进行运算或专业处理的工具,主要结构构成是触发器,触发器是具有储存功能的,可以用来储存多进制代码,一般N 位寄存器就是由N个触发器构成,移位寄存器工作原理主要是数据在其脉冲的作用下实现左移或者右移的效果,输入输出的方式表现为串行及并行自由组合,本设计就是在Tanner EDA的软件平台上进行对8位移位寄存器的电路设计仿真,再根据电路图在专门的L-Edit 平台上完成此电路的版图实现,直至完成的结果和预期结果保持一致。 关键词:Tanner EDA;L-Edit;移位寄存器,S-Edit

8 bits shift register circuit design and layout Abstract Electronic design automation,referred to as EDA,it is based on computers as the main tool,and Tanner EDA is a kind of software that complete the integrated circuit design on Windows platforms.Its Sub-Softwares include S-Edit,T-Spice,W-Edit,L-Edit and LVS and so on.S-Edit and L-Edit are commonly used software,S-Edit is primarily designed to achieve circuit,the latter is aimed primarily known circuit layout drawing,T-Spice can achieve schematic and layout simulation.We can achieve layout of the circuit design and a series of complete process layout used Tanner EDA tools.In this paper, Tanner EDA tools are mainly designed an 8-bit shift register.The shift register is mainly used for data conversion between parallel and serial, and the data processing tool operation or professional,its main structure is the trigger composition,flip-flop is a storage function,it can be used to store more hexadecimal code,In general N-bits register is composed of N trigger.Working principle of the shift register data under the action of the pulse, mainly the effect of the shift to the left or right,input and output of the way of serial and parallel free combination.This design is in Tanner on the EDA software platform to 8 bits shift register circuit design and simulation,then according to the circuit diagram on special L - Edit platform to complete the circuit layout implementation,until the finish is consistent with the results and expected results. Keywords:Tanner EDA;L-Edit;Shift register,S-Edit

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

移位寄存器及其应用(精)

移位寄存器及其应用 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、原理说明 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。按代码的移位方向可分为左移、右移和可逆移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同又可分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图8-3-3-1所示。 其中 D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入 C为直接无条件清零端; 端,S L为左移串行输入端;S1、S0为操作模式控制端;R CP为时钟脉冲输入端。 CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。 S1、S0和R C端的控制作用如表8-3-3-1。 图8-3-3-1 CC40194的逻辑符号及引脚功能 表8-3-3-1 CC40194功能表

2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和数据的串、并行转换。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位, 如图8-3-3-2所示,把输出端 Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表10-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。图8-3-3-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。其状态表如表8-3-3-2所示。 表8-3-3-2 环形计数器状态表 图 8-3-3-2 环形计数器 如果将输出Q O与左移串行输入端S L相连接,即可达左移循环移位。 (2)实现数据串、并行转换 ①串行/并行转换器 串行/并行转换是指串行输入的数码,经转换电路之后变换成并行输出。 图8-3-3-3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。

PCB版图设计报告

兰州交通大学电信学院课程设计实验报告 实验名称:负反馈放大电路PCB设计 无线话筒PCB设计(选作) 试验日期: 2012年6月25日 班级: 电子科学与技术092班 姓名: 刘光智 学号: 200910112

Altium designer简介 Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数据管理功能,使得Altium Designer成为电子产品开发的完整解决方案-一个既满足当前,也满足未来开发需求的解决方案。 一、实验目的 1.了解并学会运用Altium designer软件绘制简单PCB 2.会运用Alitum designer软件设计库元件 3.掌握印刷电路板布线流程 4.掌握印刷电路板设计的基本原则 二、设计内容 1.要求用Alitum designer软件画出电路原理图 2.按照所画原理图自动生成PCB版图 3.会自己设计元件和库 三、实验步骤(负反馈放大器PCB设计) 1、新建工程、为工程添加项目:在D盘新建一个自己的文件夹重命名为ffk,运行Alitum designer软件,然后单击文件/新建/工程/PCB工程,然后右击所建的PCB工程选择给工程添加原理图,然后添加PCB,建完PCB工程保存工程到D/ffk内,保存时三个文件都命名为ffk.扩展名 2、画原理图:在原理图窗口画出所要画的PCB原理图,本次实验所画电路图如图1: 图1 3、对所画电路图进行编译:点击工程/Compile Document mic.SchDoc,然后点击工程/Compile PCB Project PCB_mic.PrjPCB,然后打开Messages窗口查看编译结果,若有错误按照提示对错误进行改正再编译,直至没有错误结束编译

移位寄存器 第三章答案

第三章习题参考答案 1.画出以1)(2 4 6 +++=x x x x f 为联接多项式的线性移位寄存器逻辑框图,及其对应的状态图。 解:由1)(2 46+++=x x x x f ,得反馈函数为531621),,,(x x x x x x f ++=Λ,故 (1)逻辑框图: (2)状态图: 状态圈-1: 状态圈-2: 状态圈-3: 状态圈-4: 状态圈-5: 状态圈-6: 状态圈-7: 状态圈-8:

状态圈-9: 状态圈-10: 状态圈-11: 状态圈-12: 2.已知图3-2所示的7级线性反馈移位寄存器: 图3-2 (1)绘出该移位寄存器的线性递推式,联接多项式及特征多项式。 (2)给出状态转移矩阵。 (3)设初态为(1 1 1 1 1 1 1),给出输出序列a 。 解:(1)由逻辑框图得,递推式为: k k k k a a a a ++=+++357 ()0≥k 。 联接多项式为:7 4 2 1)(x x x x f +++=。 特征多项式为:7531)(~ x x x x f +++=

(2)状态转移矩阵:? ? ???? ? ?? ? ? ??0100000 101000000010001000100 000001000000011000000。 (3)输出序列:)111111111(ΛΛ=- a 。 3.设5级线性反馈移位寄存器的联接多项式为1)(2 5 ++=x x x f ,初态为(10101)。求输出序列a 。 解:由联接多项式得,反馈函数为:41521),,,(x x x x x f +=Λ。故以)10101(为初态的状态转移图为: 10101 01010001010001000001100000100000100100100100110100110100110100110100111100111100111101111101111001110001110001110000110010110110111110101110101110101110101→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→ 由此可得,输出序列为:=a 44444443444444421一个周期 0110100100000011111001010111011…。 4.证明:n 级线性反馈移位寄存器的状态转移变换是n 维线性空间n F 2上的线性变换。 证明:设f T 为n 级线性移位寄存器的状态转移变换,对n F 2,∈?βα,令),,,(110-=n a a a Λα, ),,,(110-=n b b b Λβ,有: ),,,(),,,()(121110∑=--==n i i n i n f f a c a a a a a T T ΛΛα, ),,,(),,,()(1 21110∑=--==n i i n i n f f b c b b b b b T T ΛΛβ。 ) ()() ,,,(),,,() )(,,,() ,,,()(1 211 2112211111100βαβαf f i n n i i i n n i i n i i n i n i n n f f T T b c b b a c a a b a c b a b a b a b a b a T T +=+=+++=+++=+-=-==----∑∑∑ΛΛΛΛ 对 2F k ∈?, ))((),,,(),,,()(1 21110ααf i n n i i n f f T k a c k ka ka ka ka ka T k T ===-=-∑ΛΛ。 故n 级线性反馈移位寄存器的状态转移变换是n 为线性空间n F 2上的线性变换。

EDA课程设计——移位寄存器的设计与实现

河南科技大学 课程设计说明书 课程名称 EDA技术与应用 题目移位寄存器的设计与实现 学院 班级 学生姓名 指导教师 日期

EDA技术课程设计任务书 班级:姓名:学号: 设计题目:移位寄存器的设计与实现 一、设计目的 进一步巩固理论知识,培养所学理论知识在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。 二、设计任务 根据计算机组成原理中移位寄存器的相关知识,利用VHDL语言设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。 三、设计要求 (1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。 (2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。 (3)学习按要求编写课程设计报告书,能正确阐述设计和实验结果。 (4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。 四、设计时间安排 查找相关资料(1天)、设计并绘制系统原理图(2天)、编写VHDL程序(2天)、调试(2天)、编写设计报告(2天)和答辩(1天)。 五、主要参考文献 [1] 江国强编著. EDA技术与实用(第三版). 北京:电子工业出版社,2011. [2] 曹昕燕,周凤臣.EDA技术实验与课程设计.北京:清华大学出版社,2006.5 [3] 阎石主编.数字电子技术基础.北京:高等教育出版社,2003. [4] Mark Zwolinski. Digital System Design with VHDL.北京:电子工业出版社,2008 [5] Alan B. Marcovitz Introduction to logic Design.北京:电子工业出版社,2003 指导教师签字:年月日

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

74HC164 串入、并出8 位移位寄存器

8 位串入、并出移位寄存器 1. 概述 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。 时钟 (CP) 每次由低变高时,数据右移一位,输入到 Q0, Q0 是两个数据输入端(D SA 和 DSB)的逻辑与,它将上升时钟沿之前保持一个建立时间的长度。 主复位 (MR) 输入端上的一个低电平将使其它所有输入端都无效,同时非同步地清除寄存器,强制所有的输出为低电平。 2. 特性 ?门控串行数据输入 ?异步中央复位 ?符合JEDEC 标准no. 7A ?静电放电(ESD) 保护: ·HBM EIA/JESD22-A114-B 超过2000 V ·MM EIA/JESD22-A115-A 超过200 V 。 ?多种封装形式 ?额定从-40 °C 至+85 °C 和-40 °C 至+125 °C 。 3. 功能图 图 1. 逻辑符号

图 2. IEC 逻辑符号 图 3. 逻辑图 图 4. 功能图 4. 引脚信息

图 5. DIP14、SO14、SSOP14 和 TSSOP14 封装的引脚配置 引脚说明 74HC164中文资料(功能,真值表,引脚图及电气参数介绍) SN54HC164,/SN74HC164是8位移位寄存器,当其中一个(或二个)选通串行输入端的低电平禁止进入新数据,并把第一个触发器在下一个时钟脉冲来后复位到低电平时,门控串行输入端(A 和B)可完全控制输入数据。一个高电平输入后就使另一个输入端赋能,这个输入就决定了第一个触发器的状态。虽然不管时钟处于高电平或低电平时,串行输入端的数据都可以被改变,但只有满足建立条件的信息才能被输入。时钟控制发生在时钟输入由低电平到高电平的跃变上。为了减小传输线效应,所有输入端均采用二极管钳位。 https://www.doczj.com/doc/635732251.html,/info/cmos/0083928.html H=高电平(稳定态)L=低电平(稳定态)×=不定↑=从低电平转换到高电平 QA0…QH0=在稳定态输入条件建立前QA…QH 的相应电平 QAn…QHn=在最近的时钟输入条件(↑)建立前QA…QH 的相应电平,表示移位一位

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

8位双向移位寄存器电路设计

目录 摘要 (1) 1 多功能双向移位寄存器 (2) 1.1 基本工作原理 (2) 1.2 基本实现方案 (2) 2 电路图设计 (4) 2.1 电路结构 (4) 2.2 真值表 (4) 3 Verilog描述8位双向移位寄存器 (6) 4 程序仿真 (8) 5 总结 (10) 参考文献 (11)

摘要 移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。在本设计中,使用硬件描述语言Verilog,在EDA工具QuartussII中,设计8位双向移位寄存器硬件电路,根据设计语言进行功能时序仿真,验证设计的正确性与可行性。 关键字:Verilog QuartusII 移位寄存器

8位双向移位寄存器电路设计 1 多功能双向移位寄存器 1.1 基本工作原理 移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。在本设计中定义移位寄存器中的数据从低位触发器移向高位为右移,移向低位为左移。 为了扩展逻辑功能和增加使用的灵活性,某些双向移位寄存器集成电路产品 又附加了并行输入、并行输出等功能。如图1所示是上述几种工作模式的简化示意图。 并行输入 并行输出 右移串行输入(D IR 左移串行输出(D OL 右移串行输出(D OR ) D IL ) 0123 图1 多功能移位寄存器工作模式简图 1.2 基本实现方案 图2所示是实现数据保持、右移、左移、并行置入和并行输出的一种电路方 案。图中的D 触发器m FF 是N 为移位寄存器中的第m 位触发器,在其数据输入端插入了一个4选1数据选择器m MUX ,用2位编码输入10S S 、控制m MUX ,来选择触发器输入信号m D 的来源。当100S S ==时,选择该触发器本身输出的m Q , 次态为1m n n m m Q D Q +==,使触发器保持状态不变;当100,1S S ==时,触发器1m FF -的输出1m Q -被选中,故CP 脉冲上升沿到来时,m FF 存入1m FF -此前的逻辑值,即 1m 1n n m Q Q +-=,而1m +1n n m Q Q +=, 从而实现右移功能;类似地,当101,0S S ==时,m MUX 选择1m Q +,实现左移功能;而当101S S ==时,则选中并行输入数据m DI ,其次 态1 n m m Q DI +=,从而完成并行数据的置入功能。上述四种操作概述于表1,此外,

运算放大器电路及版图设计报告

目录 摘要 (2) 第一章引言 (3) 第二章基础知识介绍 (4) 2.1 集成电路简介 (4) 2.2 CMOS运算放大器 (4) 2.2.1理想运放的模型 (4) 2.2.2非理想运算放大器 (5) 2.2.3运放的性能指标 (5) 2.3 CMOS运算放大器的常见结构 (6) 2.3.1单级运算放大器 (6) 2.3.2简单差分放大器 (6) 2.3.3折叠式共源共栅(Folded-cascode)放大器 (7) 2.4版图的相关知识 (8) 2.4.1版图介绍 (8) 2.4.2硅栅CMOS工艺版图和工艺的关系 (8) 2.4.3 Tanner介绍 (9) 第三章电路设计 (10) 3.1总体方案 (10) 3.2各级电路设计 (10) 3.2.1第三级电路设计 (10) 3.2.2第二级电路设计 (11) 3.2.3第一级电路设计 (12) 3.2.4三级运放整体电路图及仿真结果分析 (14) 第四章版图设计 (15) 4.1版图设计的流程 (15) 4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15) 4.1.2 布局 (17) 4.1.3画保护环 (17) 4.1.4画电容 (17) 4.1.5画压焊点 (18) 4.2 整个版图 (19) 第五章 T-Spice仿真 (21) 5.1提取T-Spice文件 (21) 5.2用T-Spice仿真 (24) 5.3仿真结果分析 (26) 第六章总结 (27) 参考文献 (28)

摘要 本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。 设计的主要结果归纳如下: (1)运算放大器的基本工作原理 (2)电路分析 (3)设计宽长比 (4)画版图 (5)仿真 (6)结果分析 关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

32位移位寄存器

8位数码扫描显示电路设计 一、实验目的 学习硬件扫描显示电路的设计; 二、实验原理 动态数码扫描显示方式是利用了人眼的视觉暂留效应,把八个数码管按一定顺序进行点亮,当点亮的频率不大时,我们看到的是数码管一个个的点亮,然而,当点亮频率足够大时,我们看到的不再是一个一个的点亮,而是全部同时显示,与传统方式得到的视觉效果完全一样。因此我们只要给数码管这样一个扫描频率,那么就可以实现两个以上的数码管同时点亮。而这个频率我们可以通过一个计数器来产生,只要计数频率足够大,就可以实现我们的要求。事实上,因为数码管点亮不是瞬间就可以的,它也需要一定的时间,该时间与数码管的选择有关系。为了折中这一对矛盾,实验中一般可将计数频率选择在100Hz左右。 图示8位数码扫描显示电路,其中每个数码管的8 个段:h、g、f、e、d、c、b、a(h 是小数点)都分别连在一起,8 个数码管分别由8 个选通信号k1、k2、… k8 来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3 为高电平,其余选通信号为低电平,这时仅k3 对应的数码管显示来自段信号端的数据,而其它7 个数码管呈现关闭状态。根据这种电路状况,如果希望在8 个数码管显示希望的数据,就必须使得8 个选通信号k1、k2、… k8 分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。 实验参考扫描显示程序中clk 是扫描时钟;SG 为7 段控制信号,由高位至低位分别接g、f、e、d、c、b、a 7个段;BT 是位选控制信号,接图5-2 中的8 个选通信号:k1、k2、… k8 。程序中CNT8 是一个3 位计数器,作扫描计数信号,由进程P2 生成;进程P3 是7 段译码查表输出程序,进程P1 是对8 个数码管选通的扫描程序,例如当CNT8 等于

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

版图设计实验报告

实验报告册 课程名称:集成电路版图设计教程姓名: 学号: 院系: 专业: 教师: 2016 年5 月15 日 实验一: OP电路搭建

一、实验目的: 1.搭建实体电路。 2.为画版图提供参考。 3.方便导入网表。 4.熟悉使用cadence。 二、实验原理和内容: 根据所用到的mn管分析各部分的使用方法,简化为几个小模块,其中有两个差分对管。合理运用匹配规则,不同的MOS管可以通过打孔O来实现相互的连接。 三、实验步骤: 1.新建设计库。在file→new→library;在name输入自己的学号;右边选择:attch to……;选择sto2→OK。然后在tools→library manager下就可看到自己建的库。 2.新建CellView。在file→new→CellView;cell栏输入OP,type →选择layout。 3.加器件。进入自己建好的电路图,选择快捷键I进行调用器件。MOS 管,在browse下查找sto2,然后调用出自己需要的器件。

4.连线。注意:若线的终点没有别的电极或者连线,则要双击左键才能终止画线。一个节点只能引出3根线。无论线的起点或是终点,光标都应进入红色电极接电。 5.加电源,和地符号。电源Vdd和地Vss的符号在analoglib库中选择和调用,然后再进行连线。 (可以通过Q键来编辑器件属性,把实验规定的MOS管的width和length数据输入,这样就可以在电路图的器件符号中显示出来) 6.检查和保存。命令是check and save。(检查主要针对电路的连接关系:连线或管脚浮空,总线与单线连接错误等)如果有错和警告,在‘schematic check’中会显示出错的原因,可以点击查看纠正。(画完后查看完整电路按快捷键F,连线一定要尽量节约空间,简化电路) 四、实验数据和结果: 导出电路网表的方法:新建文件OP,file→Export→OP(library browser 选NAND2),NAND.cdl,Analog √

相关主题
文本预览
相关文档 最新文档