当前位置:文档之家› 单片机脉冲信号测量

单片机脉冲信号测量

单片机脉冲信号测量
单片机脉冲信号测量

南京理工大学泰州科技学院

机电一体化技术与系统

课程设计

指导者: 王荣林

评阅者:

2011.10

姓 名: 赵旻晟

学 号:

0801010450

学院(系): 机械工程学院 专 业: 机械工程及自动化

题 目: 基于单片机的脉冲信号测试仪设计

摘要:脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。

关键词:脉冲信号,频率,宽度,单片机AT89C51

目录

一、引言 (4)

二、设计方案及原理 (5)

三、硬件设计任务 (5)

3.1基于AT89C51脉冲信号测量系统硬件设计详细分析 (6)

3.1.1 AT89C51单片机工作电路 (6)

3.1.2基于AT89C51脉冲信号测量系统复位电路 (7)

3.1.3基于AT89C51脉冲信号测量系统时钟电路 (8)

3.1.4基于AT89C51脉冲信号测量系统按键电路 (9)

3.1.5基于AT89C51脉冲信号测量系统显示电路 (10)

四、收获与感谢 (15)

五、参考文献 (15)

六、附录 (16)

6.1脉冲信号宽度测量设计程序 (16)

6.2脉冲信号频率测量设计程序 (18)

1、引言

单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。

中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

2、设计方案及原理

系统框图

图中给出了整个系统设计的系统框图,系统主要由三个主要部分组成,单片机和晶振电路设计,显示电路设计,复位电路设计。

3、硬件设计任务

AT89C51基本工作电路设计:使单片机正常工作;

时钟电路:为单片机提供时钟信号;

复位电路:为单片机提供高电平复位信号;

显示电路:显示当前测量脉冲宽度;

按键电路:开始测量脉冲信号;

3.1基于AT89C51脉冲信号测量系统硬件设计详细分析

3.1.1 AT89C51单片机工作电路

本设计的核心是单片机电路,考虑到需要一个中断输入,存储容量、外部接口对单片机端口的需要以及兼顾到节约成本的原则,选用了常用的AT89C51单片机。AT89C51是低功耗、高性能、经济的8位CMOS微处理器,工作频率为0—24MHz,内置4K字节可编程只读闪存,128x8位的内部RAM,16位可编程I/O总线。它采用Atmel公司的非易储器制造技术,与MCS51的指令设置和芯片引脚可兼容。AT89C51可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发成本。AT89C51工作的最简单的电路是其外围接一个晶振和一个复位电路,给单片机接上电源和地,单片机就可以工作了。其最简单的工作原理图如下图。

AT89C51单片机工作电路

3.1.2基于AT89C51脉冲信号测量系统复位电路

MCS-51的复位是由外部的复位电路来实现的。MCS-51单片机片内复位,复位引脚RST通过一个斯密特触发器用来抑制噪声,在每个机器周期的S5P2,斯密特触发器的输出电平由复位电路采样一次,然后才能得到内部复位操作所需要的信号。

复位电路通常采用上电自动复位和按钮复位两种方式。上电自动复位是通过外部复位电路的电容充电来实现的。只要Vcc的上升时间不超过1ms,就可以实现自动上电复位。除了上电复位外,有时还需要按键手动复位。按键手动复位有电平方式和脉冲方式两种。其中电平复位是通过RST端经电阻与电源Vcc接通而实现的。按键脉冲复位则是利用RC微分电路产生的正脉冲来实现的。

上电复位电路

上图兼有上电复位和按钮复位的电路。在单片机设计中,若有外部扩展的I/O 接口电路需初始复位,如果它们的复位端和MCS-51单片机的复位端相连,复位电路中的R、C参数要受到影响,这时复位电路中的R、C参数要统一考虑以保证可靠的复位。如果单片机MCS-51单片机与外围I/O接口电路的复位电路和复位时间不完全一致,使单片机初始化程序不能正常运行,外围I/O接口电路的复位也可以不和MCS-51单片机复位端相连,仅采用独立的上电复位电路。一般来说,单片机的复位速度比外围I/O快些。若RC上电复位电路接MCS-51单片机和外围电路复位端,则能使系统可靠地同步复位。为保证系统可靠复位,在初始化程序中应用到一定的复位延迟时间。

复位电路软件程序跑飞或者硬件发生错误的时候产生一个复位信号,控制MCS-51单片机从0000H单元开始执行程序,重新执行软件程序。此电路的输出端RESET接在单片机的复位引脚。

3.1.3基于AT89C51脉冲信号测量系统时钟电路

时钟在单片机中非常重要,单片机各功能部件的运行都是以时钟频率为基准。时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性。常用的时钟电路有两种方式,一种是内部时钟方式,另一种为外部时钟方式。

内部时钟方式:内部时钟方式电路图如下图所示。

内部时钟电路

MCS-51单片机内部有一个用与构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器电路。

电路中的电容C1和C2典型值通常选择为33PF左右。对外接电容的值虽然没有严格的要求,但是电容的大小会影响振荡器频率的高低、振荡器的稳定性和起振的快速性。晶体的振荡频率的范围通常是在1.2MHz—12MHz之间。晶体的频率越高,则系统的时钟频率也就越高,单片机的运行速度也就越快。为了提高温度稳定性,应采用温度稳定性能好的NPO高频电容。MCS-51单片机常选择振荡频率12MHz的石英晶体。

外部时钟方式:外部时钟方式电路图如下图所示。

外部时钟电路

外部时钟方式是使用外部振荡脉冲信号,常用于多片MCS-51单片机同时工作,以便于同步。对外部脉冲信号只要求高电平的持续时间大于20us,一般为低于12MHz的方波。

外部的时钟源直接接到XTAL2端,直接输入到片内的时钟发生器上。由于XTAL2的逻辑电平不是TTL的,因此要外接一个4.7k~10k的上拉电阻。

这次的设计采用MCS-51的内部时钟方式。因为外部时钟方式是用外部振荡脉冲信号,用于多片MCS-51单片机同时工作。在这次设计中只用一个MCS-51单片机,不需要振荡脉冲信号。

3.1.4基于AT89C51脉冲信号测量系统按键电路

按键是实现人机对话的比较直观的接口,可以通过按键实现人们想让单片机做的不同的工作。键盘是一组按键的集合,键是一种常开型开关,平时按键的两个触点处于断开状态,按下键是它们闭合。键盘分编码键盘和非编码键盘,案件的识别由专用的硬件译码实现,并能产生键编号或键值的称为编码键盘,而缺少

这种键盘编码电路要靠自编软件识别的称为非编码键盘。在单片机组成的电路系统及智能化仪器中,用的更多的是非编码键盘。下图就是一种比较典型的按键电路,在按键没有按下的时候,输出的是高电平,当按键按下去的时候,输出的低电平。

按键电路

3.1.5基于AT89C51脉冲信号测量系统显示电路

本设计采用6位LED数码管动态显示测量值。动态显示是一位一位地轮流点亮各位数码管,这种逐位点亮显示器的方式称为动态扫描。各位数码管的段选线相应并联在一起,由P0端口送字形代码,各位LED显示器的位选线(COM端)由P1端口控制。图中,数码管采用共阴极LED,P1端口输出经过6路反相驱动器75452后接至数码管的COM端。当位选控制口P1的某位输出:“1”时,75452反相器驱动相应的LED位发光。

LED显示电路

在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。显示缓冲区DISBUF是片内RAM的一个区域,占用片内RAM的70H~75H单元,它的作用是存放要显示的字符,其长度与LED的位数相同。显示程序的任务是吧显示缓冲区中待显示的字符送往LED显示器显示。在进行动态扫描显示时,从DISBUF中依次取出待显示的字符,采用查表的方法得到去对应的字形代码,逐个点亮各位数码管每位显示1ms左右,即可是各位数码管显示要显示的字符。下

图给出了动态扫描显示子程序DISPLAY的流程图。

显示子程序DISPLAY的流程图

主程序首先设置定时器T0为方式1,门控信号GATE=1,在被测脉冲信号INT0的上升启动T0计数码,被测脉冲信号下降沿停止T0计数,脉宽测量过程见下图。定时器T0以方式1对内部脉冲计数,16位计数值存放在40H(高字节)和41H 单元(低字节),调用WDISBUF子程序将该16位计数值换成6位非压缩型BCD 码放入显示缓冲区DISBUF中。主程序流程图如下。

脉宽测量过程图

主程序流程图

系统原理图

4、收获与致谢

机电一体化是我们主要的专业课程之一,通过这次学习,我把平时学习的那些理论知识应用到这次的实践之中,锻炼了我的实践能力。在不懈的努力下,我顺利并按时地完成《基于单片机的脉冲信号测试仪设计》这个课程设计。设计过程中,与老师的短暂交流让我毕生受益匪浅,老师丰富的专业知识、以及严谨细致、一丝不苟的作风,将成为我日后生活中的典范,学习中的榜样,在此谨对老师致以衷心的感谢!在设计过程中,我将基础理论知识融于实践认真完成每个环节、这不仅很好地巩固了我的理论知识而且也锻炼了我的动手能力。同时也提高了我独立思考问题能力。本次设计从选题、编程设计到完成整个过程都倾注了老

师的心血,我向老师表示衷心的感谢和诚挚的敬意!

5、参考文献

[1] 李华,单片机原理及应用,兰州大学出版社,2001

[2] 兰吉昌,51单片机应用设计百例,化学工业出版社,2008

[3] 冯育长,单片机系统设计与实例分析,西安电子科技大学出版社,2007

[4] 李学礼,基于Proteuse的8051单片机实例教程,电子工业出版社,2008

[5] 魏立峰,王宝兴,单片机原理与应用技术,北京大学出版社,2006

6、附录

6.1脉冲信号宽度测量设计程序

ORG 0000H

LJMP START

ORG 0040H

COUNT EQU 40H ; COUNT, COUNT+1 单元存放测量值

START : MOV SP , #40H

;主程序

MOV TMOD , #0000 1001B ; T0方式1,GATE=1

AGAIN: MOV TH0, #00H ; 计数初值=0000H

MOV TL0, #00H

WAIT0: JB P3.2, WAIT0 ; INT0输入为高则开始等待

SETB TR0 ; INT0为低时启动T0

WAIT1: JNB P3.2,W AIT1 ; INT0输入为高则开始计数

WAIT2: JB P3.2,WAIT2 ; 等待INT0下降沿

CLR TR0 ; 下降沿后停止T0计数

MOV A, TH0 ; 取计数值高字节

MOV COUNT , A

MOV A , TL0 ; 取计数值低字节

MOV COUNT+1 , A

LCALL WDISBUF ; 写DISBUF

LCALL DISPLAY ; 显示测量值

LCALL DISPLAY

LJMP AGAIN

;写DISBUF子程序、

;子程序名称:WDISBUF

; 子程序功能:将一个双字节二进制数转换成6位非压缩型BCD码,写入显示缓冲区DISBUF中。

;入口参数:内部RAM 的40H (高字节)和41H(低字节)单元中是待转换的数据

;出口参数:转换结果放入DISBUF 内部RAM 70H~75H单元中,70H单元中为最低位。

HEX EQU 40H

DISBUF EQU 70H

WDISBUF: CLR A ; 压缩BCD码初始化

MOV R3 , A ; R3R4R5暂存压缩BCD码

MOV R4 , A

MOV R5 , A

MOV R2 , #16

HB1: MOV R6 , HEX ; 数据高字节暂存于R6 中

MOV R7 , HEX+1 ; 数据低字节暂存于R7 中

HB2:MOV A , R7 ; 从高端移出待转换的一位到CY中RLC A

MOV R7 , A

MOV A , R6

RLC A

MOV R6 , A

MOV A , R5 ; BCD 码带进位自身相加,相当于乘2

ADDC A , R5

DA A ; 十进制调整

MOV R5 , A

MOV A , R4

ADDC A , R4

DA A

MOV R4 , A

MOV A , R3

ADDC A , R3

MOV R3 , A ; 双字节十六进制数的万位数不超过

6,不用调整

DJNZ R2 , HB1 ; 处理完十六位

MOV R0 , DISBUF+5 ; 转换成分离BCD码存于70H~75H

单元中

MOV A, #00H

MOV @R0 , A

MOV A , R3 : R3不超过6,不用转换

DEC R0

MOV @R0 , A

MOV A , R4

SWAP A

ANL A ,#0FH

DEC R0

MOV @R0 , A

MOV A , R4

SW AP A

ANL A , #0FH

DEC R0

MOV @R0 , A

MOV A ,R4

ANL A , #0FH

DEC R0

MOV @R0 , A

MOV A , R5

ANL A ,#0FH

DEC R0

MOV @R0 , A

RET

; 动态扫描显示子程序

;子程序名:DISPLAY

; 子程序功能:从DISBUF中依次取出待显示的字符,逐个点亮各位数码管

;入口参数:DISBUF(内部RAM 70H~75H单元中,70H 单元中伟最低位)中是

待显示的字符

;出口参数:无

DISPLAY : MOV R0 , #70H ; R0 指向DISBUF 首地址

MOV R3 , #01H ;右起第一个LED 的选择字

NEXT: MOV A , #00H ; 取位选控制字为全灭

MOV P1 , A ; 瞬时关显示器

MOV A, @R0 ; 从DISBUF中取出字符

MOV DPTR , #DSEG ; 取段码表首地址

MOVC A , @A+DPTR ; 查表,取对应的字形码

MOV P0 , A ; 输出字形码

MOV A , R3 ; 取当前位选控制字

MOV P1 , A ; 点亮当前LED显示位

LCALL DELAY ; DELAY 延时1ms

INC R0 ; R0 N指向下一个字符

JB ACC.5 , EXIT ; 诺当前显示位是第六位则结束

RL A ; 下一个LED 的选择字

MOV R3 , A

SJMP NEXT

EXIT: RET ; 返回

;段码表0~9 ,A~F , 空白,P

DSEG :DB 3FH, 06H, 5BH, 4FH, 66H, 6DH, 7DH, 07H, 7FH

DB 6FH, 77H, 7CH, 39H, 5EH, 79H, 71H, 00H, 73H

DELAY: MOV R7 , #02H ; 延时1ms的子程序

DEL1:MOV R6,#0FFH

DEL2:DJNZ R6 , DEL2

DJNZ R7,DEL1

RET

6.2脉冲信号频率测量设计程序

频率测量实际上就是在1s内对脉冲个数进行计数,计数值就是信号频率。利用已有的电路可以实现脉冲信号的频率测量。令定时器T0工作在方式1,得到50ms的定时间隔,在进行软件计数20次,形成一个1s的测量闸门信号,在测量闸门信号期间响应INT0中断,对脉冲信号加1计数来完成对输入信号的频率计数,计数值存入COUNT和COUNT+1单元,计数值通过6位动态数码管显示出来。

程序如下:

;频率计程序清单

ORG 0000H

LJMP START

ORG 0003H

LJMP PINT0 ;INT0中断入口

ORG 000BH ; T0中断入口

LJMP T0INT

ORG 0040H

COUNT EQU 40H ; 定义计数单元

;COUNT , COUNT+1 对脉冲个数计数,高位在前

;COUNT+2 为秒计数单元

START ; MOV SP , #40H ; 主程序

AGAIN ; MOV COUNT, #00H ; 计数单元清0

MOV COUNT+1,#00H

MOV COUNT+2 , #00H

MOV TMOD , #01H ; 初始化T0

MOV TH0,#3CH ; 计数初值,50ms的定时间隔

MOV TL0 , #0B0H

SETB TR0 ; 启动T0

SETB ET0 ; 允许T0中断

SETB EX0

SETB IT0 ; INT0 为负沿触发方式

SETB EA

WAIT: JNB F0,W AIT ; 不到1s则等待

LCALL WDISBUF ; 将双字节计数值转换成6位非压

缩型BCD码

LCALL DISPLAY

LCALL DISPLAY

LJMP AGAIN

; 写WDISBUF子程序

HEX EQU 40H

DISBUF EQU 70H

WDISBUF: CLR A ; 压缩BCD码初始化

MOV R3 , A ; R3R4R5暂存压缩BCD码

MOV R4 , A

MOV R5 , A

MOV R2 , #16

HB1: MOV R6 , HEX ; 数据高字节暂存于R6 中

MOV R7 , HEX+1 ; 数据低字节暂存于R7 中

HB2:MOV A , R7 ; 从高端移出待转换的一位到CY中RLC A

MOV R7 , A

MOV A , R6

RLC A

MOV R6 , A

MOV A , R5 ; BCD 码带进位自身相加,相当于乘2

ADDC A , R5

DA A ; 十进制调整

MOV R5 , A

MOV A , R4

ADDC A , R4

DA A

MOV R4 , A

MOV A , R3

ADDC A , R3

MOV R3 , A ; 双字节十六进制数的万位数不超过

6,不用调整

DJNZ R2 , HB1 ; 处理完十六位

MOV R0 , DISBUF+5 ; 转换成分离BCD码存于70H~75H

单元中

MOV A, #00H

MOV @R0 , A

MOV A , R3 : R3不超过6,不用转换

DEC R0

MOV @R0 , A

MOV A , R4

SWAP A

ANL A ,#0FH

DEC R0

MOV @R0 , A

MOV A , R4

SW AP A

ANL A , #0FH

DEC R0

MOV @R0 , A

MOV A ,R4

ANL A , #0FH

DEC R0

MOV @R0 , A

MOV A , R5

ANL A ,#0FH

DEC R0

MOV @R0 , A

RET

;DISPLAY显示子程序

DISPLAY : MOV R0 , #70H ; R0 指向DISBUF 首地址

MOV R3 , #01H ;右起第一个LED 的选择字

NEXT: MOV A , #00H ; 取位选控制字为全灭

MOV P1 , A ; 瞬时关显示器

MOV A, @R0 ; 从DISBUF中取出字符

MOV DPTR , #DSEG ; 取段码表首地址

MOVC A , @A+DPTR ; 查表,取对应的字形码

MOV P0 , A ; 输出字形码

准确测量脉冲信号的S参数(二)

准确测量脉冲信号的S参数(二) 频谱归零方法通常在脉冲宽度小于需要数字化和获取一个离散时间数据点的最小时间的时候使用。因此,必须对一个数据点获取捕获多个脉冲。在单独的输入脉冲和分析仪的时域抽样之间没有严格的同步。脉冲调制信号的频域描述具有离散PRF单音,这可以通过滤波滤出,剩下的是基调,它载有测量信息。在分析仪的下变频过程中,通过滤波去除不希望的噪声和信号分量。一旦信号被数字化,分析仪应用一个由用户指定中频带宽的数字滤波器。通常,这个数字滤波器用来减小测量噪声并增加动态范围。对非脉冲调制信号来说数字滤波算法工作得很好,但是当接收机接收到一个脉冲调制信号的时候会发生什么呢? ?利用窄带检测,利用一个数字矩形滤波器消弱接收信号中除了调制基调成分以外的所有成分是很有必要的。这需要一个最小阻带频率小于脉冲调制信号PRF的滤波器从而具有最优的阻碍。滤波器过渡斜度需要远离第一个PRF单音(图4,左),这样对不需要的单音具有最大的阻碍。这个滤波器会很难设计因为PRF单音会和基频很近。严格的矩形滤波器在频域有一些折衷,例如在时域具有额外的抖动。对此,滤波器设计者在频域和时域采用不同的技术获得最佳的性能,同时提供有效的滤波性能。 ?图4的左面给出用于分析仪中的一个可能的中频数字滤波器的响应。它在形状上不是矩形,因此如果不加改变地使用,会在频域引入不需要的成分,从而导致测量误差。另外,这个数字滤波器在频域具有周期排列的零点。这些零点的周期与接收机的采样速率和数字滤波器的结构成正比。使用一个微波PNA,通过调整数字滤波器的零点对准不需要的脉冲调制谱成分有可能滤除不需要的信号分量,只留下基频(图5)。这种滤波技术的一个优点是滤波器的零点

脉冲调制信号分析与测量方法

脉冲调制信号分析与测量方法 【摘要】本文主要介绍用频谱分析仪对脉冲调制信号脉冲频谱载波功率进行直接测量后转换成峰值功率的方法,并系统地分析了窄带和宽带状态下脉冲调制信号频谱及功率测量的差别。这对雷达信号应用时的脉冲功率测量具有实用性。 【关键词】线状谱;脉冲谱;脉冲退敏因子 1.概述 脉冲波形是雷达和数字通信系统中的一类重要信号。脉冲调制信号的测量较之连续波形可能会遇到更多的困难。当频谱仪采用窄的分辨率带宽(RBW)时,显示频谱呈现出离散的谱线,当采用宽的分辨率带宽(RBW)时,这些谱线便融合到一起,频谱呈现出连续状。在这样的测量条件下,频谱分析仪的调节对被测结果会产生严重影响。 2.脉冲波形的频谱 脉冲重复频率为PRF=fmod调制频率,脉冲周期为T,脉冲宽度为τ,脉冲幅度为1单位。依据单脉冲的傅氏变换理论得脉冲的频域表示为: 频谱的零点发生在当f=±1/τ的整数倍处,脉冲波形的频谱形状与图2相同,横轴为频率f,中心为频率零点,纵轴为幅度。频谱的幅度与脉宽τ成正比,这意味着脉冲越宽,脉冲的能量越大。绝大部分脉冲能量都处在频率低于f=|±1/τ|的主瓣内。在频域中,随着时域脉宽τ的减小,第一个零点移向较高的频率。因此,脉冲越窄,它在频域中的带宽就越宽。因为较窄的脉冲要求瞬时电压变化得更快,电压的变化较快意味着有更多的高频成分,即时域中的电压变化越快,频域中的带宽越宽。 脉冲串是由周期性地复制所形成的。由于其波形是周期波形,依据脉冲周期波形的傅氏级数的时域表示为: 该波形具有τ/T的直流分量,这恰好是脉冲波形的平均值。信号的谐波将处在该波形的基频即f=1/T的整数倍处。谐波的总体形状或包络呈现(sinx)/x特性,频谱形状的大部分能量集中在主瓣和邻近旁瓣,这是与单脉冲的傅氏变换相同的形状。在1/τ的整数倍处出现频谱包络的零点。 脉冲串频谱的幅度取决于波形的占空比。占空比是脉冲宽度与周期之比,即占空比=τ/T。脉冲串频谱的总体形状由脉冲宽度决定,脉冲频谱包络零点间隔=1/τ,而脉冲重复频率PRF=谱线间隔如图1所示。 3.线状谱

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

单片机脉冲信号测量

郑州工业应用技术学院 课程设计说明书 题单片机脉冲信号测量 姓名: 院(系):信息工程学院专业班级:计算 机科学与技术学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以 实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要............................................................... I 目录............................................................... II 第一章技术背景及意义 (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O 接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

E题-脉冲信号参数测量仪报告

脉冲信号参数测量仪 摘要:本设计选用 FPGA 作为数据处理与系统控制的核心,采用FPGA 与单片机相结合的方式制备出可测量脉冲信号频率、占空比、幅度、上升时间的测量仪以及标准脉冲信号发生器。本设计由以下功能模块构成:前端信号处理模块、峰值检波模块、窗口比较器模块、幅值升压模块等。利用FPGA 的强大处理能力,完成数字信号处理,并将处理后的信号送至单片机进行显示,设计中综合运用了电容去耦、滤波以及同轴电缆等抗干扰措施,减少了电路干扰。在FPGA 内有等精度测频模块、占空比测量模块和上升时间测量模块、标准脉冲产生模块等。显示与校准通过单片机完成。 关键词:峰值检波 窗口比较器 脉冲参数测试仪 标准脉冲信号发生器 一、系统方案 1.方案论证与比较 方案一:图1所示为中规模电路脉冲信号测量仪。此方案采用中规模数字电路构成,主要由比较器、功能选择、量程选择、计数器和控制模块组成。该方案电路复杂,频带过窄,功能不强,实现起来比较困难。故不采用此方案。 图1 小规模数字电路原理框图 方案二:图2所示为纯单片机方案,该方案以单片机为核心。 门控信号由单片机内部计数定时器产生。该方案成本低,但受单片机本身限制,其时序控制能力弱,处理速度慢,无法达到本次设计要求。故不采用此方案。 图2 纯单片机方案原理框图 方案三:图3所示为FPGA 与单片机相结合的方案。此方案中,FPGA 构成主要测量模块,输入信号经过前端处理电路,得到5V 信号输入到FPGA 中。单

片机控制FPGA完成各种测量功能并显示测量数据。该方案外围元件相对较少,对高速信号处理速度快,精度高,且控制灵活、可靠性高。 图3 FPGA与单片机结合方案原理框图 综上所述,本设计拟采用方案三。 2.总体方案设计 当进行频率测量时,脉冲信号进入前置分挡模块。当信号较大时衰减,当信号较小时放大。在放大模块中,高频信号通过高速放大器,低频信号通过精密放大器,使输入波形均为幅值适中的脉冲,直接进入FPGA进行计算测量。FPGA 中,采用等精度测频方法进行测频和测占空比,利用基本上升时间测量模式进行两个信号的上升时间测量。单片机完成数据读取及校准功能。测量幅值时经过峰值检测并保持电路,再经单片机AD采集测出。 二、理论分析与计算 1.频率测量方法 本设计中的频率测量采用等精度测频法。该方法是将标准频率信号与待测信号输入到两个计数器进行同步计数。如图4所示,测量时单片机先预置闸门时间T,当闸门开启时,等待被测信号触发沿到来,计数器开始计数;预置闸门时间结束时,计数器并不立即停止而是等被测信号下一个同相位触发沿到来才关闭同步门并停止计数。可见实际闸门时间是被测信号周期的整数倍,即与被测信号同步。 若被测信号与标准信号的计数值分别为N x 和N ,则被测频率为: f x =N x /N ×f (1) 若忽略标频f 的误差,则等精度测频可能产生的相对误差为: η=(|f xe-f x|/f xe) ×100% (2) 式(2)中f xe 为被测信号频率的准确值。 在测量过程中,由于f x 计数的起止时间都是由该信号的上升测触发的,在 闸门时间T内对f x 的计数N x 无误差;对f 的计数N s 最多相差一个数的误差,即 |N s |≤1。则理论误差:η≤1/(T×f ) (3)由(3)式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅 与闸门时间和标准信号频率有关,从而实现被测频带内的等精度测量。由于周期和频率互为倒数,因此可根据频率求出对应周期。该方法使测量精度大幅度提高,测量原理框图如图4 所示。

实验8 脉冲信号产生电路

实验8 脉冲信号产生电路 一、实验目的 1. 掌握用基本门电路构成多谐振荡器的方法。 2. 熟悉单稳态触发器的工作原理和参数选择。 3. 熟悉施密特触发器的脉冲整形和应用。 二、实验原理 脉冲信号产生电路是数字系统中必不可少的单元电路。如同步信号、时钟信号和时基信号等都由它产生。产生脉冲信号的电路通常称为多谐振荡器。它不需信号源,只要加上直流电源,就可以自动产生信号。脉冲的整形通常应用单稳态触发器或施密特触发器实现。 脉冲信号的产生与整形可以用基本门电路来实现。现在已经有集成单稳态触发器、集成施密特触发器。另外用555 定时器也可以产生脉冲或实现脉冲整形。本实验主要研究用基本门电路组成的脉冲产生和整形电路。 1. 多谐振荡器 (1) TTL 门电路构成的多谐振荡器 由于 TTL 门电路 速度快,它 适宜于产生 中频段脉冲 源,图2.8.1 是由TTL 反向器构成的全对称多谐振荡器,若取C1= C2 = C,R1= R2= R,则电路完全对称,电容充放电时间相等,其振荡周期近似为T=1.4 RC。一般R1、R2的取值不超过1K,若取R1= R2 = 500Ω ,C1= C2=100pF~100μF,则其振荡频率的范围为几十赫到几十兆赫。 (2) 环形多谐振荡器 图 2.8.2 是用TTL 与非门构成的环形多谐振荡器,图中取R1=100Ω ,R W在2kΩ ~50kΩ之间变化,可调电容C的变化范围是100pF 到50μF,则振荡频率可从数千赫变到数兆赫。电路的振荡周期为T= 2.2 RC,其中R = R1+R W。

(3) 晶体振荡器 用TTL 或CMOS 门电路构成的振荡器幅度稳定性较好,但频率稳定性较差,一般只能达到10-2~10-3数量级。在对频率的稳定度、精度要求高的场合,选用石英晶体组成的振荡器较为适合。其频率稳定度可达10-5以上。图2.8.3 是用CMOS 芯片CD4069 和 晶体构成的多谐振荡器,C o一般取20pF。C S取10~30pF,其输出频率取决于晶体的固有振荡频率。 2. 单稳态触发器 稳态触发器的特点是它只有一个稳定状态,在外来脉冲的作用下,能够由稳定状态翻转到暂稳态。暂稳态维持一段时间TW 以后,将自动返回到稳定状态。TW大小与触发脉冲无关,仅取决于电路本身的参数。单稳态触发器一般用于定时、整形及延时等。单片集成的单稳态触发器有74LS122,CC4098 等。 图 2.8.4 是用与非门构成的微分型单稳态触发器,其输出脉冲宽度为:Tw= 0.8RC。 3. 施密特触发器 施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。一旦外触发电平下降到一定电平 后,电路 立即恢复 到初始稳 态。其工

脉冲信号参数测量仪

2016年TI杯江苏省大学生电子设计竞赛题目: 脉冲信号参数测量仪 题目编号: E题 参赛队编号: 参赛队学校: 参赛队学生: 二○一六年七月

目录 摘要 (1) 1.设计方案工作原理 (1) 1.1方案选择 (1) 1.2总体方案设计 (2) 2.核心部件电路设计 (3) 2.1高速缓冲电路 (3) 2.2自动增益电路 (3) 2.3高速比较器电路 (4) 2.4放大电路 (5) 3.系统软件设计分析 (5) 3.1 CPLD数据处理 (5) 4.竞赛工作环境条件 (6) 4.1设计分析软件环境 (6) 4.2仪器设备硬件平台 (6) 5.作品成效总结分析 (6) 5.1脉冲信号频率测量 (6) 5.2脉冲信号占空比测量 (7) 5.3脉冲信号幅值测量 (7) 5.4脉冲信号上升时间测量 (8) 6.参考文献 (8) 附录.................................................................................................. 错误!未定义书签。

脉冲信号参数测量仪 摘要:本作品以美国德州仪器(TI)生产的16位超低功耗单片机MSP430F169作为主控芯片,利用CPLD技术实现矩形脉冲信号的频率、占空比、上升时间的测量,并且利用CPLD产生一个标准矩形脉冲信号。本设计外围硬件电路主要由高速缓冲降压模块、AGC自动增益模块、幅度测量模块组成,通过对上述模块的合理整合,设计并制作了一个性能较好的脉冲信号参数测量仪。由于采用了AGC模块,系统实现了全程自动增益控制,稳定输出电压。 针对矩形脉冲信号的特点,本设计采用多种抗干扰措施,对电路布线进行优化,并合理运用低噪声芯片OP07、OPA690、VCA810、THS3001、TLV3501。后期,利用ADS1115及Matlab,对测试数据进行合理的分析,以优化算法系统,进一步提高了精度。 该脉冲信号参数测量仪结构简单,性能稳定,功能完善,达到了各项设计指标。关键词:脉冲信号参数测量仪;CPLD ;AGC ;TLV3501 ;Matlab; 1.设计方案工作原理 1.1方案选择 本方案主要由THS3001缓冲模块、AGC自动增益模块、TLV3501高速比较模块、ADS1115模块组成,实现脉冲信号频率、占空比、幅度、上升时间测量。 1、主控部件选择 方案一:采用CPLD作为参数测量仪的主控芯片,完成参数测量及实时显示等全部功能。CPLD具有可编程和大规模集成的特点,此方案可以使电路大为简化,但此设计仅使用PLD不能充分发挥其特点及优势,导致系统性能降低。因此不采用此方案。 方案二:采用FPGA作为主控芯片,FPGA外围拓展功能更多,但在运行速度、编程灵活性以及使用方便性上CPLD优于FPGA,即在电路结构上FPGA更复杂,因此不采用此方案。 方案三:采用CPLD和单片机相结合的方案。分别利用CPLD在信号处理高速稳定方面以及单片机在逻辑运算、智能控制方面的优越性,使得电路不仅能够简化,而且能够达到设计要求,因此选择方案三。 2、频率测量 方案一:采用周期法。需要有标准倍的频率,在待测信号的一个周期内,记录标准频率的周期数,这种方法的计数值会产生±1个脉冲误差,并且测试精度与计数器中的记录的数值有关,为了保证测试精度,测周期法仅适用于低频信号的测量。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

E题脉冲信参数测量仪报告精编版

E题脉冲信参数测量仪 报告 公司内部编号:(GOOD-TMMT-MMUT-UUPTY-UUYY-DTTI-

脉冲信号参数测量仪 摘要:本设计选用 FPGA 作为数据处理与系统控制的核心,采用FPGA与单片机相结合的方式制备出可测量脉冲信号频率、占空比、幅度、上升时间的测量仪以及标准脉冲信号发生器。本设计由以下功能模块构成:前端信号处理模块、峰值检波模块、窗口比较器模块、幅值升压模块等。利用FPGA的强大处理能力,完成数字信号处理,并将处理后的信号送至单片机进行显示,设计中综合运用了电容去耦、滤波以及同轴电缆等抗干扰措施,减少了电路干扰。在FPGA内有等精度测频模块、占空比测量模块和上升时间测量模块、标准脉冲产生模块等。显示与校准通过单片机完成。 关键词:峰值检波窗口比较器脉冲参数测试仪标准脉冲信号发生器 一、系统方案 1.方案论证与比较 方案一:图1所示为中规模电路脉冲信号测量仪。此方案采用中规模数字电路构成,主要由比较器、功能选择、量程选择、计数器和控制模块组成。该方案电路复杂,频带过窄,功能不强,实现起来比较困难。故不采用此方案。 图1 小规模数字电路原理框图 方案二:图2所示为纯单片机方案,该方案以单片机为核心。门控信号由单片机内部计数定时器产生。该方案成本低,但受单片机本身限

制,其时序控制能力弱,处理速度慢,无法达到本次设计要求。故不采用此方案。 图2 纯单片机方案原理框图 方案三:图3所示为FPGA与单片机相结合的方案。此方案中,FPGA 构成主要测量模块,输入信号经过前端处理电路,得到5V信号输入到FPGA中。单片机控制FPGA完成各种测量功能并显示测量数据。该方案外围元件相对较少,对高速信号处理速度快,精度高,且控制灵活、可靠性高。 图3 FPGA与单片机结合方案原理框图 综上所述,本设计拟采用方案三。 2.总体方案设计 当进行频率测量时,脉冲信号进入前置分挡模块。当信号较大时衰减,当信号较小时放大。在放大模块中,高频信号通过高速放大器,低频信号通过精密放大器,使输入波形均为幅值适中的脉冲,直接进入FPGA进行计算测量。FPGA中,采用等精度测频方法进行测频和测占空比,利用基本上升时间测量模式进行两个信号的上升时间测量。单片机完成数据读取及校准功能。测量幅值时经过峰值检测并保持电路,再经单片机AD采集测出。 二、理论分析与计算 1.频率测量方法

准确测量脉冲信号的S参数

准确测量脉冲信号的S参数 传统上,矢量网络分析仪被用来测量元件的连续波形(CW)S参数性能。 在这些操作环境下,分析仪常常作为窄带测量仪器工作。它向元件传输已知的CW频率并测量CW频率响应。如果我们想查看单个CW频率的响应,我们可 以在频率看到单个的频谱。分析仪具有一个内置的源和接收器,它们被设计成 工作在同步模式下,利用窄带检测来测量元件的频率相应。大多数的分析仪可 以配置用来对许多频率进行频率扫描。在某些情况下,加到元件上的信号必须以一定的速度和持续时间进行脉冲调制(开关)。如果我们要查看一个单音脉 冲调制的频率响应,它将包含无数的频率成分从而使标准窄带VNA的使用变 得很困难。本文讲述了如何使用Agilent科技公司的PNA矢量网络分析仪进行 配置并获得准确测量脉冲信号的S参数。 ?为了查看一个脉冲调制信号的频率响应的频谱是什么样子,我们首先从数 学上分析时域响应。公式1给出了一个脉冲调制信号的时域关系。它的产生步 骤是首先建立一个用脉宽为PW的矩形窗加窗的信号。然后产生一个shah函数,这个函数包含一个间隔为1/PRF的周期脉冲序列,其中PRF是脉冲重复频率。这也同可以看作是间隔和脉冲周期相等的脉冲。而后加窗信号和shah函数卷积,产生一个和脉冲调制信号相应的周期脉冲串: ?为了查看这个信号在频域的样子,对脉冲调制信号y(t)进行傅立叶变换: ?式2表明脉冲调制信号的频谱是一个抽样的sinc函数,抽样点(信号呈现)和脉冲重复频率(PRF)相等。 ?图1的左面给出在PRF为1.69kHz和脉冲宽度7μs情况下脉冲调制谱的样子。图1的右面给出在放大脉冲基调条件下同样的脉冲调制谱。频谱具有距 离基调nPRF的成分,其中n是谐波数。基音包含测量信息。PRF音是基音的

基于PNA矢量网络分析仪的脉冲信号的S参数测量解决方案(精)

基于PNA矢量网络分析仪的脉冲信号的S参数测量 解决方案 传统上,矢量网络分析仪被用来测量组件的连续波形(CW)S参数性能。在这些操作环境下,分析仪常常作为窄带测量仪器工作。它向组件传输已知的CW频率并测量CW频率响应。如果我们想查看单个CW频率的响应,我们可以在频率看到单个的频谱。分析仪具有一个内置的源和接收器,它们被设计成工作在同步模式下,利用窄带检测来测量组件的频率相应。大多数的分析仪可以配置用来对许多频率进行频率扫描。 在某些情况下,加到组件上的信号必须以一定的速度和持续时间进行脉冲调制(开关)。如果我们要查看一个单音脉冲调制的频率响应,它将包含无数的频率成分从而使标准窄带VNA的使用变得很困难。本文讲述了如何使用 Agilent科技公司的PNA矢量网络分析仪进行配置并获得准确测量脉冲信号的S 参数。 为了查看一个脉冲调制信号的频率响应的频谱是什么样子,我们首先从数学上分析时域响应。公式1给出了一个脉冲调制信号的时域关系。它的产生步骤是首先建立一个用脉宽为PW的矩形窗加窗的信号。然后产生一个shah函数,这个函数包含一个间隔为1/PRF的周期脉冲序列,其中PRF是脉冲重复频率。这也同可以看作是间隔和脉冲周期相等的脉冲。而后加窗信号和shah函数卷积,产生一个和脉冲调制信号相应的周期脉冲串: 为了查看这个信号在频域的样子,对脉冲调制信号y(t)进行傅立叶变换: 式2表明脉冲调制信号的频谱是一个抽样的sinc函数,抽样点(信号呈现)和脉冲重复频率(PRF)相等。 图1的左面给出在PRF为1.69kHz和脉冲宽度7μs情况下脉冲调制谱的样子。图1的右面给出在放大脉冲基调条件下同样的脉冲调制谱。频谱具有距离基调nPRF的成分,其中n是谐波数。基音包含测量信息。PRF音是基音的制造物,靠近基音的频谱成分具有相对高的幅度。 PNA矢量网络分析仪通过对微波能量进行窄带检测来工作。它把接收信号下变频到中频(IF),然后数字化(在离散间隔上抽样)并进行数字滤波,从而进行显示和分析。有两种不同的方法利用微波PNA来测量一个脉冲调制信号的S 参数:“同步脉冲获取”和“频谱消零”。同步脉冲获取和在8510矢量网络分析仪上的“全脉冲表征”工作方式类似。频谱清零和8510系列内的“高PRF”工作方式相似,例外的是,尽管内指脉冲和脉冲成型可行,但是它们不能工作在8510上的“高PRF”方式下。 同步脉冲获取方法在进来的单独脉冲和分析仪离散抽样之间提供同步定时。如果脉宽超过最小同步时间从而获取了一个或更多的数据点,那么测量就落入同步脉冲获取工作方式(图2)并且接收机工作在无脉冲减敏现象得全CW敏

PWM信号发生电路

1.PWM 信号概述 脉冲宽度调制(PWM )信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC 变换(功率因数校正)。 产生PWM 信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM 发生器电路基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM 信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM 信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM 信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM 信号 基本原理是由单片机内部集成PWM 发生器模块在程序控制下产生PWM 信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM 产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM 信号基本原理是以复杂可编程逻辑器件(CPLD )或现场可编程门阵列器件 (FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM 频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM 信号难度大。 4)专用芯片产生PWM 信号 是生产厂家设计、生产的特定功能芯片。优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测 PWM 产生过程和灵活调节各项参数。 2. 电子元件构成PWM发生器电路

图1电子元件构成PWM 发生器电路 3. 集成芯片SG3525构成PWI 发生器电路 、PWM 信号发生电路说明 实验电路中,驱动开关管的 PWM 信号由专用PWM 控制集成芯片SG3525 产生(美国Silicon General 公司生产),PWM 信号发生器电路如图 图2 PWM 信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡 器、误差放大器、比较器、分频器和保护电路等。调节 Ur 的大小,在OUTA 、 2所示。 I l 22K Rw Ur 10K R2K R5 -CZI 10K J' R2 10 R1 =±C1 15K 0.01uF VREF VCC OSC OUT VC SYNC RT D ISC OUT A CT OUT B CMPEN IN+ IN - SS GND SD +15V 15 T + 15 T HF R6 10K V_G Dz R9 30K Dz PWM 13 12 10 ----- S G3525AN 8 4148 11 14 16 4 3 6' 7 5 9 "2 1 光电隔离 上C2 T~ 100uF

准确测量脉冲信号的S参数(一)

准确测量脉冲信号的S参数(一) 传统上,矢量网络分析仪被用来测量元件的连续波形(CW)S参数性能。 在这些操作环境下,分析仪常常作为窄带测量仪器工作。它向元件传输已知的CW频率并测量CW频率响应。如果我们想查看单个CW频率的响应,我们可 以在频率看到单个的频谱。分析仪具有一个内置的源和接收器,它们被设计成 工作在同步模式下,利用窄带检测来测量元件的频率相应。大多数的分析仪可 以配置用来对许多频率进行频率扫描。在某些情况下,加到元件上的信号必须以一定的速度和持续时间进行脉冲调制(开关)。如果我们要查看一个单音脉 冲调制的频率响应,它将包含无数的频率成分从而使标准窄带VNA的使用变 得很困难。本文讲述了如何使用Agilent科技公司的PNA矢量网络分析仪进行 配置并获得准确测量脉冲信号的S参数。 ?为了查看一个脉冲调制信号的频率响应的频谱是什么样子,我们首先从数 学上分析时域响应。公式1给出了一个脉冲调制信号的时域关系。它的产生步 骤是首先建立一个用脉宽为PW的矩形窗加窗的信号。然后产生一个shah函数,这个函数包含一个间隔为1/PRF的周期脉冲序列,其中PRF是脉冲重复频率。这也同可以看作是间隔和脉冲周期相等的脉冲。而后加窗信号和shah函数卷积,产生一个和脉冲调制信号相应的周期脉冲串: ?为了查看这个信号在频域的样子,对脉冲调制信号y(t)进行傅立叶变换: ?式2表明脉冲调制信号的频谱是一个抽样的sinc函数,抽样点(信号呈现)和 脉冲重复频率(PRF)相等。 ?图1的左面给出在PRF为1.69kHz和脉冲宽度7μs情况下脉冲调制谱的样子。图1的右面给出在放大脉冲基调条件下同样的脉冲调制谱。频谱具有距 离基调nPRF的成分,其中n是谐波数。基音包含测量信息。PRF音是基音的

单片机脉冲信号测量

南京理工大学泰州科技学院 机电一体化技术与系统 课程设计 指导者: 王荣林 评阅者: 2011.10 姓 名: 赵旻晟 学 号: 0801010450 学院(系): 机械工程学院 专 业: 机械工程及自动化 题 目: 基于单片机的脉冲信号测试仪设计

摘要:脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号,频率,宽度,单片机AT89C51

目录 一、引言 (4) 二、设计方案及原理 (5) 三、硬件设计任务 (5) 3.1基于AT89C51脉冲信号测量系统硬件设计详细分析 (6) 3.1.1 AT89C51单片机工作电路 (6) 3.1.2基于AT89C51脉冲信号测量系统复位电路 (7) 3.1.3基于AT89C51脉冲信号测量系统时钟电路 (8) 3.1.4基于AT89C51脉冲信号测量系统按键电路 (9) 3.1.5基于AT89C51脉冲信号测量系统显示电路 (10) 四、收获与感谢 (15) 五、参考文献 (15) 六、附录 (16) 6.1脉冲信号宽度测量设计程序 (16) 6.2脉冲信号频率测量设计程序 (18)

1、引言 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

脉冲分频信号产生器.

沈阳航空航天大学 课程设计 (说明书) 脉冲分频信号产生器设计 班级24020103 学号2012040201131 学生姓名郁健 指导教师关庆阳

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计____ 课程设计题目脉冲分频信号产生器 课程设计的内容及要求: 一、设计说明与技术指标 设计一个脉冲分频信号产生器,技术指标如下: ①能够输出1KHz脉冲信号; ②能够输出10KHz脉冲信号; ③能够输出100Hz脉冲信号; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 序号评定项目评分成绩 1 设计方案正确,具有可行性,创新性(15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2015 年01 月14日

一、概述 该脉冲分频信号产生器可以实现10KHZ 、1KHZ 、100HZ 三路频率输出,电路结构相对简单,输出频率相对稳定,且能够有效的实现频率间的转变,具有节能,经济,功能具备的特点。 二、方案论证 设计一个脉冲分频信号产生器,技术指标如下: ①能够输出1KHz 脉冲信号; ②能够输出10KHz 脉冲信号; ③能够输出100Hz 脉冲信号; 方案一: 方案一原理框图如图1所示。 降频 降频 图1 方案一脉冲分频电路的原理框图 方案二: 方案二原理框图如图2所示。 升频 降频 图2 方案二脉冲分频电路的原理框图 由555定时器组成的多谐振荡器产生频率为10KHZ 的脉冲信号 由74LS160组成的十分频电 路 由74LS160组成的十分频电路 输出 1KHZ 输出 100HZ 输出 10KHZ 由555定时器组成的多谐振 荡器产生频率 为1KHZ 的脉冲信号 锁相环升频 74LS160降频 输出10KHZ 输出100HZ 输出1KHZ

单片机脉冲信号测量

郑州工业应用技术学院课程设计说明书 题目:单片机脉冲信号测量姓名: 院(系):信息工程学院 专业班级:计算机科学与技术 学号: 指导教师: 成绩: 时间:年月日至年月日

摘要 脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,频率等参数,并用十进制数字显示出来。利用定时器的门控信号GATE进行控制可以实现脉冲宽度的测量。在单片机应用系统中,为了便于对LED显示器进行管理,需要建立一个显示缓冲区。本文介绍了基于单片机AT89C51的脉冲信号参数测量仪的设计。该设计可以对脉冲信号的宽度,频率等参数进行测量。 关键词:脉冲信号;频率;宽度;单片机AT89C51

目录 摘要 ........................................................................................................................................... I 目录 ......................................................................................................................................... II 第一章技术背景及意义 . (1) 第二章设计方案及原理 (2) 第三章硬件设计任务 (3) 第四章软件结论 (12) 第五章参考文献 (13) 第六章附录 (14)

第一章技术背景及意义 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。通常,单片机由单块集成电路芯片构成,内部包含有计算机的基本功能部件:中央处理器、存储器和I/O接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,便可成为一个单片机控制系统。由于单片机稳定可靠、物美价廉、功耗低,所以单片机的应用日益广泛深入,涉及到各行各业,如工业自动化、智能仪表与集成智能传感器、家用电器等领域。单片机应用的意义绝不仅限于它的广阔范围以及带来的经济效益,更重要的意义在于,单片机的应用正从根本上改变着传统的控制系统的设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分控制功能,现在使用单片机通过软件就能实现了。随着单片机应用的推广普及,单片机控制技术将不断发展,日益完善。因此,本课程设计旨在巩固所学的关于单片机的软件及硬件方面的知识,激发广大学生对单片机的兴趣,提高学生的创造能力,动手能力和将所学知识运用于实践的能力。 中断功能是一种应用比较广泛的功能,它指的是当CPU正在处理某件事情的时候,外部发生了某一件事(如一个电平的变化,一个脉冲沿的发生或定时器计数溢出等)请求CPU迅速去处理,于是,CPU暂时终止当前的工作,转去处理所发生的事件。中断服务处理完该事件以后,再回到原来被中止的地方继续原来的工作,这样的过程称为中断。本文中用到了定时器T0溢出中断,以实现软件延时。脉冲信号测量仪是一种常用的设备,它可以测量脉冲信号的脉冲宽度,脉冲频率等参数。

相关主题
文本预览
相关文档 最新文档