基于Multisim的病房呼叫控制系统的设计与仿真任务书
- 格式:doc
- 大小:38.00 KB
- 文档页数:1
基于multisim的病房呼叫器设计仿真作者:王鑫荣来源:《中国科技博览》2013年第23期摘要:在电子技术课程改革中,以项目为载体,以学生为主体,将现代信息技术与课程结合,建立了基于Multisim11的病房呼叫器电路仿真模型,分析了仿真结果。
仿真和分析表明设计方案合理。
教学实践证明,病房呼叫器电路具有典型代表性,通过实施项目教学法,激发了学生的学习兴趣和学习热情,最大程度的发挥学生的主观能动性,达到了提高教学质量的目的。
关键词:Multisim;仿真;病房呼叫器;电子技术中图分类号:TP23 文献标识码:A 文章编号:1009-914X(2013)23-405-01引言Multisim最初是由加拿大IIT公司推出的电子线路仿真软件。
从Multisim2001开始到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim11版本改由美国国家仪器公司(NI 公司)推出。
Multisim可以对电工电路、模拟电路、数字电路、单片机电路等电路进行仿真,克服了传统电子产品的设计受实验室客观条件限制的局限性,用虚拟的元件搭建各种电路,用虚拟的仪表进行各种参数和性能指标的测试,因此降低了实验成本,节省实验时间,提高了实验效率。
在电子工程设计和电子类教学领域中得到广泛应用。
《电子技术》是电子、信息专业的一门非常重要的必修专业基础课,其理论性和实践性都很强。
它讲述的是电子技术中最基本的理论和最常用的电子线路。
它担负着普及电子技术基础知识和为后续专业课程打基础的双重任务。
其涉及内容多而又抽象,更新发展快。
学生对该课程的学习态度和质量将直接影响到学生对后续课程的学习以及将来在相关领域思维素质的形成和潜能的发挥。
本文通过实施项目教学,让学生主动参与到教学中来,以激发他们的学习兴趣和学习热情。
以病房呼叫器为例,借助Multisim仿真软件辅助电路设计,让学生确定设计方案,通过软件仿真进行测试分析,调试、修改和完善自己设计的电路,在实验平台上搭接具体电路进行实验,验证成功后,再做出实际的硬件电路,从而激发他们的创新意识。
基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。
本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。
1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。
系统由患者端和护士端组成。
患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。
当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。
2. 系统设计系统的设计基于VHDL和Multisim。
VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。
2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。
呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。
2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。
我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。
我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。
基于Multisim的病房呼叫系统的设计与实现杨明【摘要】在数字电路课程的改革中,以项目为主线、教师为引导、学生为主体,将现代计算机虚拟仿真技术与课程结合,建立基于Multisim12的病房呼叫系统仿真电路并加以实现.教学实践证明,病房呼叫系统是组合逻辑电路应用的典型实例,通过实施项目教学法,改变了以往"教师讲,学生听"被动的教学模式,创设了学生主动参与、自主协作、探索创新的新型教学模式,达到了提高教学质量的目标.%In the reform of digital circuit course,the project for line,teacher as a guide and the students as the main body,modern computer virtual simulation technology and curriculum combined is established based on the Multisim12 ward call system simulation circuit and realizes it.Teaching practice has proved that ward calling system is a typical example of application of combinational logic circuit,through the implementation of the project teaching method,change the past "teacher,students listen" passive mode of teaching,the creation of the students to participate in theinitiative,autonomy and cooperation, exploration and innovation of new teaching mode,achieve the goal of improving the quality of teaching.【期刊名称】《电子测试》【年(卷),期】2015(000)017【总页数】4页(P27-29,40)【关键词】Multisim12;数字电子技术;仿真;病房呼叫系统【作者】杨明【作者单位】云南中医学院信息技术学院,云南昆明,650500【正文语种】中文Mu1tiSim软件是加拿大Interactive Image Technologies公司(图像交互技术公司,简称IIT公司)在1998年推出EWB5.0(Electronic Workbench,称为“虚拟电子实验室”)的基础上推出的一款更高版本的电路设计与仿真软件。
课程设计任务书课程名称电子线路课程设计课程设计题目医院病房呼叫器的设计课程设计的容及要求:一、设计说明与技术指标1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低;2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。
二、设计要求1.在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规化)。
三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年2. 阎石,数字电子技术(第五版).[M]:高等教育,2005.3. 孝彬《555集成电路实用电路集》高等教育2002-84. 王刚《TTL集成电路应用》机械工业2000-10五、按照要求撰写课程设计报告成绩评定表:指导教师签字:年月日一、概述本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。
用于医院病房需要呼叫具有优先级别的呼叫系统。
当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。
使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。
二、方案论证根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。
首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。
基于multisim的病床呼叫电路仿真设计与研究
一、引言
在医院病房中,病人需要随时呼叫护士或医生,因此病床呼叫电路是
必不可少的。
本文将介绍基于multisim的病床呼叫电路仿真设计与研究。
二、病床呼叫电路的组成
1.按钮:放置在患者手边,用于患者发出呼叫信号。
2.指示灯:放置在护士站台上,用于显示哪个床位发出了呼叫信号。
3.报警器:放置在护士站台上,用于发出声音提示护士前往相应的床位。
三、基于multisim的病床呼叫电路仿真设计
1.电源模块:使用直流电源模块为整个电路提供稳定的电源。
2.按钮模块:使用开关模块作为按钮,并将其连接到一个555定时器
模块中。
当按钮被按下时,555定时器会输出一个高电平信号。
3.指示灯模块:使用LED灯作为指示灯,并将其连接到一个74HC595移位寄存器模块中。
当555定时器输出高电平时,74HC595移位寄
存器会将对应的LED灯点亮。
4.报警器模块:使用蜂鸣器作为报警器,并将其连接到一个LM358运算放大器模块中。
当555定时器输出高电平时,LM358运算放大器会输出一个高电平信号,使蜂鸣器发出声音。
四、病床呼叫电路仿真结果分析
在multisim中进行仿真后,可以得到以下结果:
1.当按钮被按下时,指示灯会点亮,并且报警器会发出声音。
2.当按钮被松开时,指示灯和报警器都会停止工作。
五、总结
本文介绍了基于multisim的病床呼叫电路的设计和仿真。
通过该电路的设计和仿真,可以实现病人随时呼叫护士或医生的目的,从而提高了医院病房管理的效率。
基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统在医疗机构中起着至关重要的作用,它能够匡助患者及时呼叫医护人员,提供及时的医疗服务。
本文将介绍一种基于VHDL和Multisim的病房呼叫系统的设计方案。
1. 系统概述病房呼叫系统由多个呼叫器和一个中央控制器组成。
每一个呼叫器都可以被患者使用,通过按下按钮来呼叫医护人员。
中央控制器接收呼叫信号并显示患者所在的房间号码,同时发出声音和光信号以通知医护人员。
2. 系统硬件设计2.1 呼叫器每一个呼叫器由一个按钮、一个显示屏和一个呼叫信号发生器组成。
按钮用于患者按下呼叫,显示屏用于显示房间号码,呼叫信号发生器用于产生呼叫信号。
呼叫信号发生器可以采用VHDL语言进行设计,通过编程实现呼叫信号的产生。
2.2 中央控制器中央控制器由一个显示屏、一个声音发生器和一个光信号发生器组成。
显示屏用于显示患者所在的房间号码,声音发生器用于发出声音信号,光信号发生器用于发出光信号。
中央控制器可以采用Multisim软件进行设计,通过电路连接和编程实现功能。
3. 系统软件设计3.1 VHDL编程使用VHDL语言编写呼叫信号发生器的程序。
程序应包括以下功能:- 接收按钮信号,判断是否有呼叫请求。
- 如果有呼叫请求,产生呼叫信号。
- 将房间号码显示在显示屏上。
3.2 Multisim编程使用Multisim软件设计中央控制器的电路连接和编程。
程序应包括以下功能:- 接收呼叫信号,判断呼叫的房间号码。
- 将房间号码显示在显示屏上。
- 发出声音信号和光信号,通知医护人员。
4. 系统测试完成硬件设计和软件设计后,需要对系统进行测试以确保其正常工作。
测试应包括以下方面:- 摹拟按钮按下,检查呼叫信号的产生和显示屏的显示是否正确。
- 摹拟中央控制器接收呼叫信号,检查显示屏、声音发生器和光信号发生器是否正常工作。
5. 系统优化在测试过程中,如果发现系统存在问题或者不足之处,可以对系统进行优化。
东北大学秦皇岛分校电子信息系数字电路课程设计病房呼叫系统专业名称计算机科学与技术班级学号4080517学生姓名张树茂指导教师李志华设计时间2009.12.18~2009.12.26课程设计任务书专业:计算机科学与技术学号:4080517学生姓名(签名):设计题目:一、设计实验条件电路仿真实验室二、设计任务及要求1.课程设计任务《数字电路》课程设计是继“数字电路”课后开出的实践环节课程,其目的是训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路能力,设计建立在硬件和软件两个平台的基础上。
硬件平台是可编程逻辑器件,所选的器件可保存在一片芯片上设计出题目要求的数字电路。
软件平台是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT 公司)推出的Multisim10.0,通过课程设计,学生要掌握使用EDA(电子设计自动化)工具设计数字电路的方法,包括设计输入便宜软件仿真下载及硬件仿真等全过程。
2.课程设计要求通过课程设计学生应掌握设计所用硬件电路的工作原理,EDA软件的使用方法,能够熟练地利用EDA设计、调试数字电路系统,独立完成设计安装、测试全过程,具体要求:1.设计一个病房呼叫系统,该系统能根据不同的呼叫激励不同的振铃;2.设计一个显示电路用于显示病人的床号;3.该系统具有优先权设定功能;三、设计报告的内容1.总体方案选择设计电路第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。
设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡个方案的优缺点,从中选优。
最开始我设计的方案一是使用74LS148N和74LS00N以及反相器输出结果,但是这种方案给显示带来了麻烦,所以舍弃了这种方案。
在方案一的基础上我保留了74LS148N和74LS00N的组合,然后使用七段译码显示器及其驱动芯片完善显示部分,形成了最终的方案:图1 最终方案总体电路图原理:1.1.通过电路系统可根据不同的呼叫激励不同的响铃,在此图中由七段共阴数码管输出不同的数字代替激励不同的响铃,实现此功能的电路部分为:图2 实现一个病房具有不同呼叫功能的电路图对于一个病房的不同呼叫,利用74LS148N优先编码器对呼叫信号(输入低电平)进行优先编码,把较为重要的呼叫内容与编号较大的引脚相连,当有呼叫信号(输入低电平)时,74LS148N会把优先级较高的信号编码成二进制信号输出。
基于multisim的病床呼叫电路仿真设计与研究##引言随着医疗技术的不断发展,病房内的设备也不断更新和改进。
其中,病床呼叫系统对病人的护理起到了至关重要的作用。
为了提高病床呼叫系统的效率和可靠性,本文将利用multisim软件进行病床呼叫电路的仿真设计与研究。
电路设计原理病床呼叫电路主要包括三个部分:传感器、信号处理电路和呼叫设备。
传感器用于检测病人的需求信号,如按下按钮或拉动拉杆等;信号处理电路负责对传感器信号进行放大和滤波等处理;呼叫设备则负责向医护人员发送呼叫信号。
仿真设计步骤1. 传感器设计传感器是病床呼叫电路的输入端,负责检测病人的需求信号。
常用的传感器包括按钮传感器和拉绳传感器等。
我们将以按钮传感器为例进行仿真设计。
2. 信号处理电路设计信号处理电路负责对传感器信号进行放大和滤波处理,以保证呼叫信号的稳定性和可靠性。
在multisim软件中,我们可以利用模拟电子元件进行信号处理电路的设计。
3. 呼叫设备设计呼叫设备负责向医护人员发送呼叫信号,常见的呼叫设备包括蜂鸣器和LED指示灯等。
我们需要设计合适的电路来实现呼叫设备的功能。
4. 整体电路设计与调试将传感器、信号处理电路和呼叫设备连接起来,形成完整的病床呼叫电路。
在multisim软件中,我们可以利用连接线将各个电路模块进行连接,然后进行调试和优化。
仿真结果与分析经过仿真调试,我们得到了稳定可靠的病床呼叫电路。
通过按钮传感器的触发,电路能够快速响应并发送呼叫信号,同时呼叫设备能够及时提醒医护人员。
优化改进在实际应用中,我们可以根据具体需求进行电路的优化改进。
例如,可以添加延时功能,以避免误触发;还可以增加无线传输模块,将呼叫信号发送到医护人员的移动设备上。
结论本文基于multisim软件进行了病床呼叫电路的仿真设计与研究。
通过设计合理的传感器、信号处理电路和呼叫设备,我们成功地实现了稳定可靠的病床呼叫系统。
同时,我们也提出了一些优化改进的思路,以进一步提高系统的性能和功能。
病房呼叫系统设计与仿真设计1.提供简单易用的界面:病房呼叫系统的用户主要是病人和医护人员。
对于病人来说,他们可能年龄较大或身体虚弱,所以系统的界面应该简单明了,易于操作。
对于医护人员来说,他们的时间宝贵,所以系统的操作流程应该简单,能够快速发起和响应呼叫请求。
2.实时监控和响应:病房呼叫系统应该能够实时监控病人的呼叫请求,并及时响应。
当病人有紧急情况或急需帮助时,系统应该能够立即把呼叫请求传输给相应的医护人员,并确保他们能够及时赶到病房提供帮助。
3.提供预警机制:病房呼叫系统应该能够提供预警机制,当病人的生命体征出现异常时能够及时通知医护人员。
例如,当病人的心率过快或过慢、血氧饱和度低等情况发生时,系统应该能够自动发出警报,并发送给相应的医护人员,以便他们能够及时处理。
4.数据记录和分析:病房呼叫系统应该能够记录和分析病人的呼叫数据。
这些数据可以用于评估病人的需求,优化医院的资源配置,并提供医疗质量监控的依据。
针对上述设计原则,我们可以进行仿真设计。
首先,我们可以使用软件工具如 UML(Unified Modeling Language)来设计系统架构和用户界面。
在系统架构设计中,我们可以使用类图和顺序图来描述系统的各个组件和它们之间的交互。
在用户界面设计中,我们可以使用原型设计工具来创建系统的界面,并模拟用户的交互过程。
然后,我们可以使用仿真工具来模拟系统的运行过程。
通过建立一个虚拟的病房环境,我们可以模拟病人的呼叫请求和医护人员的响应过程。
在仿真中,我们可以设置不同的参数,如呼叫请求的频率、响应时间等,以评估系统的性能和效果。
最后,我们可以使用数据分析工具来分析仿真结果。
通过对仿真数据的统计和分析,我们可以评估系统的响应时间、病人满意度、资源利用率等指标。
根据分析结果,我们可以对系统进行优化和改进,以提高病人的护理质量和医护人员的工作效率。
总结起来,病房呼叫系统的设计与仿真设计是一个综合性的过程。
96电子技术Electronic Technology电子技术与软件工程Electronic Technology & Software Engineering1 虚拟仪器开发平台LabVIEWLabVIEW 是一个虚拟仪器程序开发软件平台,它是一种用图标代替文本行创建应用程序的图形化编程语言,是目前应用较广、发展较快、功能较强大的图形化软件平台。
LabVIEW 与传统文本编程语言存在明显区别,传统文本编程语言是根据语句和指令的先后顺序决定程序执行顺序,而LabVIEW 使用的是图形化编程语言编写程序,就是利用软件自带的功能库和开发工具库产生一个前面板,再在后台利用图形化编程语言编制用于控制前面板的程序。
程序的前面板具有与传统仪器类似的界面,可接受用户的鼠标指令。
产生的程序是框图的形式,程序框图中节点之间的数据流向决定了函数及VI 的执行顺序,VI 是 LabVIEW 的程序模块。
一般来说,每一个VI 都可以作为其它VI 的调用对象,其功能类似于文本语言的子程序。
[1]2 优先编码器的子VI的设计简单编码器是通过接受一个有效输入信号,并在多条并行输出线上生成一个对应的二进制编码的电路。
病房呼叫系统中可能存在多个病床同时呼叫的问题,而在普通编码器中,任何时刻只允许输入一个编码信号,若同时输入多个信号,输出将发生混乱。
在优先编码器(priority encoder )电路中,可以允许多个输入端同时有输入信号,编码器按照输入信号排定的先后顺序,只对同时输入的多个信号中优先权最高的一个信号进行二进制编码。
病房呼叫器的设计中,考虑到可能存在多个床位同时呼叫的问题,所以需要用的是优先编码器,优先编码器的前面板即用户界面如图1所示。
前面板的设计要美观且要与实际的芯片及实验台的连接相似,其主要实现控件及实验结果的显示。
为了扩展编码器电路的功能和增加其使用的灵活性,在编码器电路设计中附加了由门电路组成的控制电路。
基于Multisim10.0的病房呼叫系统的设计摘要随着计算机技术飞速发展,电路设计可以通过计算机辅助分析和仿真技术来完成。
计算机仿真的应用,代替了大包大揽的试验电路,大大减轻验证阶段的工作量;其强大的实时交互性、信息的集成性和生动直观性,为电子专业教学创设了良好的平台,并能保存仿真中产生的各种数据,为整机检测提供参考数据,还可保存大量的单元电路、元器件的模型参数。
采用仿真软件能满足整个设计及验证过程的自动化。
Multisim适用于板级的模拟/数字电路板的设计工作。
本文在Multisim软件环境下设计并分析一种病房呼叫系统。
AbstractAlo ng with the computer technology’s rapid developing, circuit design can be completed with the help of computer aided analysis and simulation technology. Instead of the test circuit mode, the application of computer simulation technology greatly reduce the workload of authentication phase ; and its powerful real-time interactive, information compositive and vivid visual create a good platform for electronic professional teaching. Besides, it can save simulation to produce a variety of data as well as provide the reference data for the detection and save a lot of unit circuit, components of the parameters for the model. Using simulation software to meet the whole design and validation process automation.Multisim apply to board level analog/digital circuit board design work. This paper in Multisim software environment design and analysis of a ward call system.目录摘要 0Abstract 01、Multisim10.0系统简介 (2)1.1什么是Multisim (2)1.2 Multisim的特点 (2)2、病房呼叫系统的设计 (3)2.1设计要求 (3)2.2设计原理分析 (3)3、总结体会 (6)参考文献 (8)1、Multisim10.0系统简介1.1什么是MultisimMultisim是美国国家仪器(NI)有限公司[1]推出的以Windows为基础的仿真工具,是一个专门用于电子线路仿真与设计的EDA 工具软件。
医院住院病人“呼喊”器设计与仿真目录第一章课题名称及要求1、1课题名称 (2)1、2主要技术要求 (2)第二章总体方案论证及单元电路设计设计方案和方案论证 (2)第三章总体电路设计总体模块设计 (3)各分模块设计 (4)第四章致谢 (11)第五章测试结果及心得体会4、1测试结果 (13)4、2心得体会 (13)第五章致谢 (11)一.摘要介绍一种以编码译码原理的医院呼叫器的设计方法。
实验结果表明,系统硬件、软件设计方案合理,实现了住院病人可通过按动自己的床位按扭开关向医护人员发出“呼喊”信号;一旦有病人发出“呼喊”信号,医护人员值班室显示床位编号,发光二极管发光显示;通过这次课程设计,让我们了解到医院呼叫器的原理和基本理论,锻炼了我们的实践能力和动手能力,在此我们运用我们所学到的专业知识,通过查阅相关资料做出了这个设计。
关键词:编码器;译码器;呼叫器;医院;显示二.引言广泛用于医院,养老院,餐饮等公共场所。
护呼叫系统已经成为医院提高医护服务质量、提高医护人员工作效率和减少医疗事故的一种必不可少的基础设备。
目前,用于病床呼叫的系统有很多,它们多数都是有线通信,通过声光报警和LED屏显示告知呼叫求援的床位号码,一般由有线的床头分机、走廊显示屏和护士台的由发光二极管组成的病床一览表(板)组成。
随着无线科技的发展和应用,医护呼叫系统可以摆脱线缆的束缚,实现即时通讯,移动接收呼叫信息。
调频无线医院呼叫器是在调频无线技术基础上,结合了编码译码控制和计算机软件技术,根据医院的规模,内部业务流程和管理需求开发的全新呼叫系统。
本系统采用低辐射,低功率,高无线接收灵敏度,零电磁干扰的FM无线编码技术,发射功率不足手机的1/10,完全满足医院的低无线电辐射要求。
这种医护呼叫系统缺乏对病人呼叫信息的存储、统计和管理功能,病人呼叫求援方式单一,护士和医生只能在值班地点才能得到病人的声光报警,特别是值班医生和护士不在值班地点时,可能造成不能迅速找到值班医护人员而延误病人的抢救时机,给病人和医院造成不可挽回的损失。
基于LabVIEW的病房呼叫系统2012级信工136042012208赵晟辉一.软件介绍:Labview是一种程序开发环境,由美国国家仪器(NI)公司研制开发,自1986年第一个版本问世以来,就广泛地被工业界、学术界和研究实验室所接受,被视为一个标准的数据采集和仪器控制软件。
Labview与其他计算机语言的显著区别是:其他计算机语言都是采用基于文本的语言产生代码,然而labview使用的是图形化编辑语言编写程序,产生的程序是框图的形式。
图形化的程序语言,又称为“G”语言。
使用这种语言编程时,基本上不写程序代码,取而代之的是流程图或框图。
它尽可能利用了技术人员、科学家、工程师所熟悉的术语、图标和概念,因此,labview是一个面向最终用户的工具。
使用它进行原理研究、设计、测试并实现仪器系统时,可以大大提高工作效率。
Labview的仿真功能:Labview包含了多种多样的数学运算函数,特别适合进行模拟、仿真、原型设计等工作。
在设计机电设备之前,可以在计算机上用Labview搭建仿真原型,验证设计的合理性,找到潜在的问题。
在高等教育领域,有时如果使用Labview进行软件模拟,就可以达到同样的效果,使学生不致失去实践的机会。
二.设计思路:通过对虚拟仪器的软件LabVIEW的一定了解以及学习了其基本内容后,为了可以把学到的知识可以较好的联系在一起,因而想用LabVIEW语言编写一个简易的模拟系统来进一步温习巩固所学的。
为了整体更加美观,也更加符合实际,在病房端,我并没有选择现有的控件,而是利用布尔型的开关按钮为基础,将其编辑为各个联想到现实生活中,我想到类似于G语言这样的图形语言,相对于其他语言而言,在循环语句、条件语句、顺序语句等语句方面的处理会有更为直观的优势,编程起来也会更加方便,因此我选择了病房监护系统这种语句结构比较复杂的设计入手。
三.设计步骤:3.1.子vi设计:a控件为了整体更加美观,也更加符合实际,在病房端,我并没有选择现有的控件,而是利用布尔型的开关按钮为基础,将其编辑为不同图片的控件,由于现实病房中的按钮并非像开关按钮一样,每一次触发之后会变为一种形态,故我将该控件也设计为始终保持一种形态不变的控件。